From ef517e265a83ff6d3c503b8ca9f182ae1eb42851 Mon Sep 17 00:00:00 2001 From: Obijuan Date: Tue, 21 May 2024 12:35:52 +0200 Subject: [PATCH] update examples 5,6. Fix verify errors --- examples/02-LCD-Shield/05-memory-string.ice | 38977 +++++++-------- .../06-user-chars-LCD-Shield.ice | 39251 ++++++++-------- 2 files changed, 39815 insertions(+), 38413 deletions(-) diff --git a/examples/02-LCD-Shield/05-memory-string.ice b/examples/02-LCD-Shield/05-memory-string.ice index 98b4763..646fdeb 100644 --- a/examples/02-LCD-Shield/05-memory-string.ice +++ b/examples/02-LCD-Shield/05-memory-string.ice @@ -692,18 +692,6 @@ "height": 64 } }, - { - "id": "eaa09542-9588-44d5-9739-76482b037798", - "type": "4917dffaba01339334b7faad48a85777247830fb", - "position": { - "x": 648, - "y": 552 - }, - "size": { - "width": 96, - "height": 128 - } - }, { "id": "2215dfa3-4b2c-4888-91bb-6e89c95ab311", "type": "basic.info", @@ -753,8 +741,8 @@ } }, { - "id": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", - "type": "5681847446606150d3a2df8938776629c3ec7cba", + "id": "2b20f716-2745-427d-ad9c-ac5a17458703", + "type": "89df3e4ea26ce1d9802b5c39bcae94992014a607", "position": { "x": 1048, "y": 320 @@ -763,12 +751,24 @@ "width": 96, "height": 160 } + }, + { + "id": "10a88957-7d8f-43e0-af9f-0d719d147a86", + "type": "184ac2fa057dc5b8c26ab5b3cc64918faae55707", + "position": { + "x": 648, + "y": 552 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ { "source": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7" }, "target": { @@ -779,7 +779,7 @@ }, { "source": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "22fdad75-628e-49fb-925b-27a74e8a8e4a" }, "target": { @@ -830,7 +830,7 @@ "port": "constant-out" }, "target": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "0d2c265d-25ed-4578-96fc-535e2bfb549e" } }, @@ -840,23 +840,23 @@ "port": "constant-out" }, "target": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "75d23aa3-cc8f-4869-a189-b6224a9b2d83" } }, { "source": { - "block": "eaa09542-9588-44d5-9739-76482b037798", + "block": "10a88957-7d8f-43e0-af9f-0d719d147a86", "port": "73948305-b05b-418f-88af-11328f400cdc" }, "target": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "3f2aa475-ede5-41f3-b8e3-b705332e72de" } }, { "source": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "076fd796-3b96-4de8-9198-e6e8888b5ba8" }, "target": { @@ -870,7 +870,7 @@ "port": "outlabel" }, "target": { - "block": "eaa09542-9588-44d5-9739-76482b037798", + "block": "10a88957-7d8f-43e0-af9f-0d719d147a86", "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" } }, @@ -890,7 +890,7 @@ "port": "outlabel" }, "target": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4" }, "size": 8 @@ -901,7 +901,7 @@ "port": "constant-out" }, "target": { - "block": "eaa09542-9588-44d5-9739-76482b037798", + "block": "10a88957-7d8f-43e0-af9f-0d719d147a86", "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" } }, @@ -933,7 +933,7 @@ "port": "outlabel" }, "target": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, @@ -949,7 +949,7 @@ }, { "source": { - "block": "eaa09542-9588-44d5-9739-76482b037798", + "block": "10a88957-7d8f-43e0-af9f-0d719d147a86", "port": "565f2a63-c8a8-45e7-9123-a507fbd077ef" }, "target": { @@ -971,7 +971,7 @@ }, { "source": { - "block": "7dba9a7c-49bd-45e8-8380-17e24d9769e3", + "block": "2b20f716-2745-427d-ad9c-ac5a17458703", "port": "6066e5ea-fa58-4727-8b67-e9e86fb640b0" }, "target": { @@ -985,7 +985,7 @@ "port": "outlabel" }, "target": { - "block": "eaa09542-9588-44d5-9739-76482b037798", + "block": "10a88957-7d8f-43e0-af9f-0d719d147a86", "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" } } @@ -1366,1465 +1366,1378 @@ } } }, - "4917dffaba01339334b7faad48a85777247830fb": { + "89df3e4ea26ce1d9802b5c39bcae94992014a607": { "package": { - "name": "count-5bits", - "version": "0.1", - "description": "Máquina de contar, de 5 bits", + "name": "LCD-controller-open-4-bits", + "version": "0.4", + "description": "LCD-controller-open-4-bits: Controlador de LCD en bucle abierto con interfaz de 4-bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22582.772%22%20height=%22514.404%22%20viewBox=%220%200%20154.1918%20136.10265%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-30.881%20-39.646)%22%3E%3Cpath%20d=%22M162.957%20104.088c0%203.014-1.96%202.635-3.282%202.635H50.325c-1.322%200-2.4-1.094-2.4-4.108V42.693c0-3.022%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M56.314%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.082%200h6.064v6.219h-6.064zm6.064%200h6.081v6.219H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2054.718h6.08v6.211h-6.08zm6.08%200h6.073v6.211h-6.072zm6.082%200h6.064v6.211h-6.064zm6.064%200h6.081v6.211H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2060.929h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2067.158h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2073.386h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2079.615h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.082%200h6.064v6.22h-6.064zm6.064%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2085.835h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2092.063h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072zm6.08%200h6.065v6.22h-6.064zm6.065%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2048.49h6.072v6.219h-6.072zm6.072%200h6.081v6.219h-6.08zm6.081%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2048.49h6.073v6.219h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2054.718h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2092.063h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2092.063h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.073%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2048.49h6.073v6.219h-6.073zM147.479%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2054.718h6.073v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2060.929h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2067.158h6.08v6.228h-6.08zm-24.307%206.228h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2073.386h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2079.615h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2092.063h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2092.063h6.073v6.22h-6.073zM147.479%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2229.509%22%20y=%22140.759%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2229.509%22%20y=%22140.759%22%20font-weight=%22700%22%3EController%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.874%22%20y=%22169.801%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.874%22%20y=%22169.801%22%20font-weight=%22700%22%3EOpen%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2212.621%22%20cy=%22102.335%22%20cx=%22162.358%22%20fill=%22#fff%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22109.323%22%20x=%22155.442%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.323%22%20x=%22155.442%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1666087588919 }, "design": { "graph": { "blocks": [ { - "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "type": "basic.input", + "id": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "name": "busy3", + "blockColor": "navy" }, "position": { - "x": 592, - "y": -416 + "x": 1920, + "y": -296 } }, { - "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "id": "a6b77c0f-04de-46b4-adee-acf026acab7d", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 736, - "y": -416 + "x": 2216, + "y": -280 } }, { - "id": "81fb4efb-363e-483e-b1df-c2ebda777355", + "id": "3e5cba81-f603-48e0-b036-13f4e319197d", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 1416, - "y": -352 + "x": 1920, + "y": -232 } }, { - "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "busy" }, "position": { - "x": 952, - "y": -304 + "x": 2528, + "y": -144 } }, { - "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "id": "bb49a449-4992-4667-8697-84304a1987af", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "rst" + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 1416, - "y": -288 + "x": 2392, + "y": -144 } }, { - "id": "40607400-436e-4dea-b733-8308a06fd4ef", + "id": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", "type": "basic.outputLabel", "data": { "blockColor": "yellow", - "name": "clk" + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": -224 + "x": 1456, + "y": -128 } }, { - "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", - "type": "basic.output", + "id": "67387837-1d48-4ba2-976e-f18a9e0f8376", + "type": "basic.inputLabel", "data": { - "name": "Busy" + "name": "busy3", + "blockColor": "navy" }, "position": { - "x": 2312, - "y": -216 + "x": 1792, + "y": -104 } }, { - "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "type": "basic.outputLabel", + "id": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", + "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "rs", + "blockColor": "fuchsia" }, "position": { - "x": 2152, - "y": -216 + "x": 1816, + "y": -48 } }, { - "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "type": "basic.inputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "rst" + "name": "", + "clock": true }, "position": { - "x": 952, - "y": -192 + "x": 216, + "y": -40 } }, { - "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "type": "basic.input", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "start", - "clock": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 584, - "y": -136 + "x": 368, + "y": -40 } }, { - "id": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", - "type": "basic.inputLabel", + "id": "a3b58fde-785d-4a3a-8581-ba957700cfbc", + "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 1824, - "y": -120 + "x": 2224, + "y": -32 } }, { - "id": "c0798d84-418e-4a6f-990f-d5d2c1660d21", + "id": "5a999f26-6728-4451-b529-6fd101c0da93", "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "rs2", + "blockColor": "fuchsia" }, "position": { - "x": 1200, - "y": -104 + "x": 1440, + "y": -24 } }, { - "id": "9baa509b-0012-4e0e-b874-e62987258aa1", - "type": "basic.inputLabel", + "id": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "start" + "name": "ctrl", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 944, - "y": -72 + "x": 2536, + "y": 0 } }, { - "id": "565f2a63-c8a8-45e7-9123-a507fbd077ef", - "type": "basic.output", + "id": "9233847f-201d-41d2-a916-7c1eea4d7239", + "type": "basic.outputLabel", "data": { - "name": "n", - "range": "[4:0]", - "size": 5 + "name": "cmd2", + "blockColor": "fuchsia" }, "position": { - "x": 2320, - "y": -72 + "x": 1440, + "y": 32 } }, { - "id": "07ec322c-26e6-486b-90ed-6032780b2d1c", - "type": "basic.outputLabel", + "id": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "type": "basic.inputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 2160, - "y": -72 + "x": 1856, + "y": 32 } }, { - "id": "45bd338b-4745-4b06-b199-462cdaffa31d", - "type": "basic.outputLabel", + "id": "945a1ba2-9167-460e-9d8c-8a4b172524e8", + "type": "basic.inputLabel", "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "name": "busy2", + "blockColor": "navy" }, "position": { - "x": 584, - "y": -24 + "x": 1088, + "y": 32 } }, { - "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "id": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "rs", + "blockColor": "fuchsia" }, "position": { - "x": 1472, - "y": 16 + "x": 2224, + "y": 40 } }, { - "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "id": "a290409c-8fc0-4f31-974a-4886b48031e3", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "done" + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 920, - "y": 24 + "x": 1128, + "y": 80 } }, { - "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "id": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "exec", + "blockColor": "yellow", + "name": "clk", "oldBlockColor": "fuchsia" }, "position": { - "x": 2160, - "y": 104 + "x": 680, + "y": 80 } }, { - "id": "73948305-b05b-418f-88af-11328f400cdc", - "type": "basic.output", + "id": "e34cde32-285a-4a10-9564-3f183f9374d1", + "type": "basic.inputLabel", "data": { - "name": "exec" - }, - "position": { - "x": 2328, - "y": 104 - } - }, - { - "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "type": "basic.outputLabel", - "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "rw", + "blockColor": "fuchsia" }, "position": { - "x": 1544, - "y": 136 + "x": 1864, + "y": 88 } }, { - "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "id": "11645bae-f6bd-4389-9b75-46b74b11891e", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "din2", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 648, - "y": 152 + "x": 1456, + "y": 96 } }, { - "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "type": "basic.inputLabel", + "id": "a10e58ca-ea03-432a-996f-cd686fe48f5e", + "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "rw", + "blockColor": "fuchsia" }, "position": { - "x": 1080, - "y": 168 + "x": 2224, + "y": 112 } }, { - "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { - "name": "next", + "name": "rs", "clock": false }, "position": { - "x": 616, - "y": 208 + "x": 216, + "y": 136 } }, { - "id": "d8820925-23d9-4f02-8491-adf813601d08", - "type": "basic.outputLabel", + "id": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "rs1", + "blockColor": "fuchsia" }, "position": { - "x": 1384, - "y": 232 + "x": 360, + "y": 136 } }, { - "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "id": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 2160, - "y": 248 + "x": 680, + "y": 136 } }, { - "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "type": "basic.output", + "id": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", + "type": "basic.inputLabel", "data": { - "name": "done" + "name": "din_ini", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 2320, - "y": 248 + "x": 1136, + "y": 136 } }, { - "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "id": "418f1032-586b-4290-a8d5-8d01d3dbab84", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" + "name": "dout", + "range": "[3:0]", + "blockColor": "royalblue", + "size": 4 }, "position": { - "x": 1952, - "y": 288 + "x": 1848, + "y": 152 } }, { - "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "id": "d9bdb9fe-338e-4066-befe-5beb48905dc8", "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "write2", + "blockColor": "red" }, "position": { - "x": 1384, - "y": 304 + "x": 1464, + "y": 168 } }, { - "id": "10afc859-04fd-433d-823a-4fa4721320c1", - "type": "basic.outputLabel", + "id": "22fdad75-628e-49fb-925b-27a74e8a8e4a", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "start" + "name": "d", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 1680, - "y": 376 + "x": 2536, + "y": 192 } }, { - "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "type": "basic.inputLabel", + "id": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "type": "basic.outputLabel", "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "name": "next", + "blockColor": "darkgreen" }, "position": { - "x": 1144, - "y": 376 + "x": 680, + "y": 192 } }, { - "id": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "id": "e51f952d-706e-4c3c-87ca-bba691fed3d4", "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "dout", + "range": "[3:0]", + "blockColor": "royalblue", + "size": 4 }, "position": { - "x": 688, - "y": 552 + "x": 2384, + "y": 192 } }, { - "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "type": "basic.constant", + "id": "6d089d72-773a-4edd-82a0-5dfacadb1184", + "type": "basic.inputLabel", "data": { - "name": "N", - "value": "32", - "local": false + "name": "write_ini", + "blockColor": "red" }, "position": { - "x": 680, - "y": 344 + "x": 1112, + "y": 224 } }, { - "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "type": "basic.constant", + "id": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "1", - "local": true + "name": "din1", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 1352, - "y": -208 + "x": 368, + "y": 232 } }, { - "id": "374613cb-968d-46d1-94a4-16686e7df28d", - "type": "basic.constant", + "id": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", + "type": "basic.input", "data": { - "name": "", - "value": "0", - "local": true + "name": "d", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 1648, - "y": -256 + "x": 216, + "y": 232 } }, { - "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "type": "438dedd956354c574afcde6f0793a7d369b2a031", - "position": { - "x": 752, - "y": -168 + "id": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", + "type": "basic.inputLabel", + "data": { + "name": "next", + "blockColor": "darkgreen" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 1976, + "y": 272 } }, { - "id": "d76d4948-a798-448c-adfa-c03b511371e5", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 1544, - "y": 288 + "id": "5661df88-f6d1-49d6-bf74-258557be8bcb", + "type": "basic.inputLabel", + "data": { + "name": "done_init", + "blockColor": "springgreen" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1080, + "y": 280 } }, { - "id": "bd61136e-6597-4728-a8c3-141a1841150b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 808, - "y": 168 + "id": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", + "type": "basic.output", + "data": { + "name": "init_done" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2536, + "y": 296 } }, { - "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 1016, - "y": 376 + "id": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", + "type": "basic.outputLabel", + "data": { + "name": "done_init", + "blockColor": "springgreen" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2400, + "y": 296 } }, { - "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 1680, - "y": 272 + "id": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "type": "basic.inputLabel", + "data": { + "name": "write1", + "blockColor": "red" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "type": "81613874c6152f06c06ed7014bf4235900cfcc30", "position": { - "x": 1824, - "y": 288 - }, - "size": { - "width": 96, - "height": 64 + "x": 368, + "y": 312 } }, { - "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", - "type": "basic.info", + "id": "3f2aa475-ede5-41f3-b8e3-b705332e72de", + "type": "basic.input", "data": { - "info": "**Reloj del sistema**", - "readonly": true + "name": "write", + "clock": false }, "position": { - "x": 680, - "y": -472 - }, - "size": { - "width": 192, - "height": 40 + "x": 216, + "y": 312 } }, { - "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", - "type": "basic.info", + "id": "4077db41-3118-4b2b-8e7c-e02f03403951", + "type": "basic.outputLabel", "data": { - "info": "**Estado de** \n**la máquina**", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 760, - "y": -248 - }, - "size": { - "width": 160, - "height": 40 + "x": 1664, + "y": 344 } }, { - "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", - "type": "basic.info", + "id": "076fd796-3b96-4de8-9198-e6e8888b5ba8", + "type": "basic.output", "data": { - "info": "Máquina encendida", - "readonly": true + "name": "done" }, "position": { - "x": 936, - "y": -328 - }, - "size": { - "width": 168, - "height": 40 + "x": 2536, + "y": 376 } }, { - "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", - "type": "basic.info", + "id": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", + "type": "basic.inputLabel", "data": { - "info": "Máquina apagada \n(rst = 1)", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 952, - "y": -232 - }, - "size": { - "width": 152, - "height": 56 + "x": 1952, + "y": 376 } }, { - "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", - "type": "basic.info", + "id": "e58a680a-b7d8-44b9-9942-203656bb79dd", + "type": "basic.outputLabel", "data": { - "info": "Tic de arranque", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 960, - "y": -96 - }, - "size": { - "width": 160, - "height": 40 + "x": 2400, + "y": 376 } }, { - "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", - "type": "basic.info", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", "data": { - "info": "Tic de fin", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 944, - "y": 0 - }, - "size": { - "width": 120, - "height": 32 + "x": 728, + "y": 480 } }, { - "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", - "type": "basic.info", + "id": "0ab5add2-3485-4419-95f2-c6a722533087", + "type": "basic.inputLabel", "data": { - "info": "**Contador de ciclos**", - "readonly": true + "name": "busy1", + "blockColor": "navy" }, "position": { - "x": 1480, - "y": -424 - }, - "size": { - "width": 224, - "height": 40 + "x": 1032, + "y": 496 } }, { - "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", - "type": "basic.info", + "id": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", + "type": "basic.inputLabel", "data": { - "info": "Número de ciclos \ncontados", - "readonly": true + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 1824, - "y": -160 - }, - "size": { - "width": 160, - "height": 56 + "x": 1040, + "y": 592 } }, { - "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", - "type": "basic.info", + "id": "25305d01-7980-4a92-8a5d-3d370f92edf0", + "type": "basic.outputLabel", "data": { - "info": "Valor \nincrementado", - "readonly": true + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 1496, - "y": -120 - }, - "size": { - "width": 128, - "height": 56 + "x": 1360, + "y": 592 } }, { - "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", - "type": "basic.info", + "id": "aacafad5-ebae-4fbb-b987-46e527ef6736", + "type": "basic.inputLabel", "data": { - "info": "### Salidas", - "readonly": true + "name": "rs2", + "blockColor": "fuchsia" }, "position": { - "x": 2152, - "y": -328 - }, - "size": { - "width": 280, - "height": 40 + "x": 2192, + "y": 608 } }, { - "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", - "type": "basic.info", + "id": "112ed8d8-1940-442e-9692-122482e8601a", + "type": "basic.inputLabel", "data": { - "info": "Estado de la máquina", - "readonly": true + "name": "cmd2", + "blockColor": "fuchsia" }, "position": { - "x": 2160, - "y": -248 - }, - "size": { - "width": 192, - "height": 40 + "x": 1680, + "y": 624 } }, { - "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", - "type": "basic.info", + "id": "6e03417e-d26a-47ca-bbf7-abed12cf829f", + "type": "basic.outputLabel", "data": { - "info": "Ciclo actual", - "readonly": true + "name": "rs1", + "blockColor": "fuchsia" }, "position": { - "x": 2168, - "y": -104 - }, - "size": { - "width": 152, - "height": 40 + "x": 1872, + "y": 648 } }, { - "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", - "type": "basic.info", + "id": "5ba7b723-cc87-4e69-8494-be27491be24b", + "type": "basic.outputLabel", "data": { - "info": "Cuenta finalizada", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2160, - "y": 216 - }, - "size": { - "width": 176, - "height": 48 + "x": 552, + "y": 672 } }, { - "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", - "type": "basic.info", + "id": "12a003b2-96ca-479e-a925-273a66c415c9", + "type": "basic.outputLabel", "data": { - "info": "Ejecutar el ciclo", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 2168, - "y": 80 - }, - "size": { - "width": 168, - "height": 40 + "x": 1872, + "y": 760 } }, { - "id": "eae9349c-e15a-4922-8652-1849ae8af424", - "type": "basic.info", + "id": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", + "type": "basic.outputLabel", "data": { - "info": "Número de ciclos \na contar", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 696, - "y": 288 - }, - "size": { - "width": 152, - "height": 56 + "x": 1360, + "y": 768 } }, { - "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", - "type": "basic.info", + "id": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", + "type": "basic.outputLabel", "data": { - "info": "¿Estamos en el \nciclo k-1?", - "readonly": true + "name": "busy2", + "blockColor": "navy" }, "position": { - "x": 1024, - "y": 480 - }, - "size": { - "width": 144, - "height": 56 + "x": 648, + "y": 832 } }, { - "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", - "type": "basic.info", + "id": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", + "type": "basic.inputLabel", "data": { - "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 648, - "y": 88 - }, - "size": { - "width": 216, - "height": 80 + "x": 936, + "y": 848 } }, { - "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", - "type": "basic.info", + "id": "f3d19b64-b930-407e-8c30-f288d5554f77", + "type": "basic.outputLabel", "data": { - "info": "Nuevo ciclo", - "readonly": true + "name": "din_ini", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 920, - "y": 168 - }, - "size": { - "width": 136, - "height": 40 + "x": 1376, + "y": 888 } }, { - "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", - "type": "basic.info", + "id": "dca00596-5e72-496b-95a7-5b544abc6781", + "type": "basic.outputLabel", "data": { - "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", - "readonly": true + "name": "busy1", + "blockColor": "navy" }, "position": { - "x": 1128, - "y": 304 - }, - "size": { - "width": 208, - "height": 72 + "x": 650, + "y": 896 } }, { - "id": "9baabc30-8c27-4b55-92e4-d59783269162", - "type": "basic.info", + "id": "d72de4a7-d7bd-4953-9ab1-501a0d876133", + "type": "basic.outputLabel", "data": { - "info": "Apagar la \nmáquina", - "readonly": true + "name": "write_ini", + "blockColor": "red" }, "position": { - "x": 600, - "y": -64 - }, - "size": { - "width": 120, - "height": 56 + "x": 1896, + "y": 912 } }, { - "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", - "type": "basic.info", + "id": "5e154911-3b02-4c25-b8b4-67a2cec51df8", + "type": "basic.inputLabel", "data": { - "info": "Ciclo nuevo: \nincrementar la cuenta", - "readonly": true + "name": "din2", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 1464, - "y": -32 - }, - "size": { - "width": 208, - "height": 56 + "x": 1704, + "y": 920 } }, { - "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", - "type": "basic.info", + "id": "28815f36-60f4-4bcb-9b79-adf4436596f4", + "type": "basic.inputLabel", "data": { - "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", - "readonly": true + "name": "write2", + "blockColor": "red" }, "position": { - "x": 1680, - "y": 440 - }, - "size": { - "width": 248, - "height": 72 + "x": 2192, + "y": 944 } }, { - "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", - "type": "basic.info", + "id": "2d234231-a3ce-4933-a5fe-407c45ca0d49", + "type": "basic.outputLabel", "data": { - "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", - "readonly": true + "name": "din1", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 1688, - "y": 168 - }, - "size": { - "width": 208, - "height": 80 + "x": 1376, + "y": 952 } }, { - "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", - "type": "basic.info", + "id": "8dfb44d1-7475-43bc-9627-5355d505e3a3", + "type": "basic.outputLabel", "data": { - "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", - "readonly": true + "name": "write1", + "blockColor": "red" }, "position": { - "x": 1432, - "y": 360 - }, - "size": { - "width": 256, - "height": 72 + "x": 1896, + "y": 968 } }, { - "id": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "type": "92f3f1841aa67b4ab090bd8d975cef82ec86f688", - "position": { - "x": 1648, - "y": -152 + "id": "4cb21564-35b2-42d6-9c4c-8976682fd720", + "type": "basic.outputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 1896, + "y": 1032 } }, { - "id": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "type": "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e", - "position": { - "x": 1352, - "y": -104 + "id": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", + "type": "basic.outputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1368, + "y": 1056 } }, { - "id": "85378bfa-fb14-48e9-ae6e-435470739f60", - "type": "dcac317be3a1ce461a24d7a75d6c112790f3b0d9", - "position": { - "x": 856, - "y": 512 + "id": "0d2c265d-25ed-4578-96fc-535e2bfb549e", + "type": "basic.constant", + "data": { + "name": "C", + "value": "1", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 608, + "y": -40 } }, { - "id": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "type": "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4", + "id": "f027a3dd-1b00-421b-8ccc-43dc54000b64", + "type": "basic.constant", + "data": { + "name": "Espera_ms", + "value": "15", + "local": true + }, "position": { - "x": 680, + "x": 616, "y": 448 - }, - "size": { - "width": 96, - "height": 64 } - } - ], - "wires": [ - { - "source": { - "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "port": "outlabel" - }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "3b77778a-732e-4bb7-9169-b93066877379" - }, - "vertices": [ - { - "x": 1592, - "y": -192 - } - ] }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + "id": "a21db338-df4f-48a4-b152-c82fec1f9177", + "type": "basic.memory", + "data": { + "name": "Inicializar_display_4_bits", + "list": "30 //-- Init 0\n30 //-- Init 1\n30 //-- Init 2\n20 //-- Funct Set. 4 bits\n28 //-- Funct Set. 2 lines\n0F //-- Disp ctrl: Disp on. Curso on. Blink ON\n01 //-- CLS\n", + "local": true, + "format": 10 }, - "target": { - "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "port": "inlabel" + "position": { + "x": 728, + "y": -328 + }, + "size": { + "width": 424, + "height": 160 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + "id": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", + "type": "basic.constant", + "data": { + "name": "B", + "value": "1", + "local": false }, - "target": { - "block": "9baa509b-0012-4e0e-b874-e62987258aa1", - "port": "inlabel" + "position": { + "x": 728, + "y": -40 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "0d991cee-b329-439b-b9e7-5712d2db539d" - }, - "target": { - "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "port": "inlabel" + "id": "fedc3ff5-0acd-464d-971d-c7cbf286889d", + "type": "basic.constant", + "data": { + "name": "", + "value": "7", + "local": true }, - "vertices": [ - { - "x": 888, - "y": -216 - } - ] + "position": { + "x": 824, + "y": -152 + } }, { - "source": { - "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", - "port": "outlabel" + "id": "86b6c395-bfa3-4587-8882-6cab271726e3", + "type": "basic.constant", + "data": { + "name": "", + "value": "5", + "local": true }, - "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 960, + "y": -120 } }, { - "source": { - "block": "45bd338b-4745-4b06-b199-462cdaffa31d", - "port": "outlabel" + "id": "169cf0af-be61-4260-8041-84c135512759", + "type": "basic.constant", + "data": { + "name": "Init", + "value": "4", + "local": true }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "ba15eacb-1e03-4580-932b-3231703481e5" + "position": { + "x": 1128, + "y": -72 } }, { - "source": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "a84954f7-810e-4b33-b933-994656925230", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12_000_000", + "local": false }, - "target": { - "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "port": "inlabel" + "position": { + "x": 1608, + "y": -192 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + "id": "a98159b0-93b4-4af8-b3aa-2c421f6529d2", + "type": "basic.info", + "data": { + "info": "Arrancar la inicialización!", + "readonly": true }, - "target": { - "block": "5a3f8466-6a57-4607-939a-fb15222442a7", - "port": "inlabel" + "position": { + "x": 1024, + "y": 664 + }, + "size": { + "width": 272, + "height": 40 } }, { - "source": { - "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "port": "outlabel" + "id": "b4934eee-e747-4dd6-986a-3a650d2732b7", + "type": "basic.info", + "data": { + "info": "**COMIENZO!**", + "readonly": true }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 680, + "y": 640 + }, + "size": { + "width": 144, + "height": 40 } }, { - "source": { - "block": "a756b829-42d1-4779-b42d-a9acc3800854", - "port": "outlabel" + "id": "2ca2bc5c-5510-4008-afdd-68b3a444a309", + "type": "basic.info", + "data": { + "info": "## Retraso inicial\n\nSe deben esperar 15ms para que el LCD arranque", + "readonly": true }, - "target": { - "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "port": "in" + "position": { + "x": 688, + "y": 328 + }, + "size": { + "width": 368, + "height": 72 } }, { - "source": { - "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "port": "outlabel" + "id": "17c10570-79e4-4c17-a872-2cc590f8ab6a", + "type": "basic.info", + "data": { + "info": "Comandos necesarios para inicializar \ny configurar el LCD", + "readonly": true }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "position": { + "x": 1168, + "y": -272 + }, + "size": { + "width": 304, + "height": 56 } }, { - "source": { - "block": "10afc859-04fd-433d-823a-4fa4721320c1", - "port": "outlabel" + "id": "3c503337-4d71-48b8-ace3-e001f62cc18b", + "type": "basic.info", + "data": { + "info": "Escribir comando actual", + "readonly": true }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1232, + "y": 256 + }, + "size": { + "width": 200, + "height": 32 } }, { - "source": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "d4a7e9b5-b05b-467e-a306-e9478a92a1ec", + "type": "basic.info", + "data": { + "info": "Fin de Inicialización", + "readonly": true }, - "target": { - "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", - "port": "inlabel" + "position": { + "x": 1192, + "y": 304 + }, + "size": { + "width": 184, + "height": 40 } }, { - "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "db22636c-9afd-4420-9c4b-0e2f19ac98ce", + "type": "basic.info", + "data": { + "info": "Número de comandos \nde inicialización", + "readonly": true }, - "target": { - "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "port": "inlabel" + "position": { + "x": 1232, + "y": -72 + }, + "size": { + "width": 176, + "height": 48 } }, { - "source": { - "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", - "port": "outlabel" + "id": "2aa67b45-7164-4ca7-a879-8e4cb8c762d5", + "type": "basic.info", + "data": { + "info": "Comandos totales", + "readonly": true }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "position": { + "x": 688, + "y": -152 + }, + "size": { + "width": 184, + "height": 40 } }, { - "source": { - "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "port": "out" + "id": "ad65b9e6-ab2d-4d2d-b09c-25599544eec9", + "type": "basic.info", + "data": { + "info": "Cursor ON/OFF", + "readonly": true }, - "target": { - "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "port": "inlabel" + "position": { + "x": 608, + "y": -80 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "40607400-436e-4dea-b733-8308a06fd4ef", - "port": "outlabel" + "id": "057e06e7-34c6-4e18-ad8e-3ebe4a1d4032", + "type": "basic.info", + "data": { + "info": "Blink ON/OFF", + "readonly": true }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "046501b8-4427-4d4d-af97-7fe807774f33" + "position": { + "x": 736, + "y": -80 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "port": "outlabel" + "id": "fcbd1dd3-091a-47cf-94e1-5d7ab073efc8", + "type": "basic.info", + "data": { + "info": "Dirección donde está \nel cmd disp_ctrl", + "readonly": true }, - "target": { - "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", - "port": "in" - } - }, - { - "source": { - "block": "81fb4efb-363e-483e-b1df-c2ebda777355", - "port": "outlabel" + "position": { + "x": 1072, + "y": -144 }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "size": { + "width": 184, + "height": 40 } }, { - "source": { - "block": "d8820925-23d9-4f02-8491-adf813601d08", - "port": "outlabel" - }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "id": "df03c562-d346-45a8-a19e-bf9ab0824e03", + "type": "basic.info", + "data": { + "info": "Comando a escribir en \nel LCD", + "readonly": true }, - "vertices": [ - { - "x": 1496, - "y": 280 - } - ] - }, - { - "source": { - "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "port": "outlabel" + "position": { + "x": 1248, + "y": 168 }, - "target": { - "block": "73948305-b05b-418f-88af-11328f400cdc", - "port": "in" + "size": { + "width": 192, + "height": 48 } }, { - "source": { - "block": "07ec322c-26e6-486b-90ed-6032780b2d1c", - "port": "outlabel" + "id": "a20bc4ef-ebc3-4411-9229-d6c89dfe0429", + "type": "basic.info", + "data": { + "info": "Tipo de comando", + "readonly": true }, - "target": { - "block": "565f2a63-c8a8-45e7-9123-a507fbd077ef", - "port": "in", - "size": 5 + "position": { + "x": 1248, + "y": 96 }, - "size": 5 + "size": { + "width": 192, + "height": 48 + } }, { - "source": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "23a50dc6-0372-4232-96d4-4f9bb84402e0", - "size": 5 + "id": "d53e38f4-7dd2-41ea-8132-ebc3e0ed1ba9", + "type": "basic.info", + "data": { + "info": "LCD-write-open-4-bits", + "readonly": true }, - "target": { - "block": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", - "port": "inlabel" + "position": { + "x": 1632, + "y": 216 }, - "size": 5 + "size": { + "width": 184, + "height": 40 + } }, { - "source": { - "block": "c0798d84-418e-4a6f-990f-d5d2c1660d21", - "port": "outlabel" + "id": "d136b7e1-723d-4809-a13d-329086f6b8fe", + "type": "basic.info", + "data": { + "info": "Escribir un comando en el LCD", + "readonly": true }, - "target": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", - "size": 5 + "position": { + "x": 1520, + "y": -232 }, - "size": 5 + "size": { + "width": 256, + "height": 40 + } }, { - "source": { - "block": "5aad8c94-4889-4ccd-b051-82e585f38aa9", - "port": "outlabel" + "id": "ba0115f9-03aa-4382-9ca1-4cae455b1855", + "type": "basic.info", + "data": { + "info": "Siguiente \ncomando", + "readonly": true }, - "target": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", - "size": 5 + "position": { + "x": 2096, + "y": 272 }, - "size": 5 + "size": { + "width": 104, + "height": 56 + } }, { - "source": { - "block": "374613cb-968d-46d1-94a4-16686e7df28d", - "port": "constant-out" + "id": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1816, + "y": 288 }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "port": "constant-out" + "id": "7fb1679b-6b56-4c10-8618-dab9aa40593d", + "type": "basic.info", + "data": { + "info": "Modo inicialización", + "readonly": true }, - "target": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + "position": { + "x": 1928, + "y": 248 + }, + "size": { + "width": 184, + "height": 32 } }, { - "source": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + "id": "811959ff-8df1-457f-a1b8-cc4f6820377c", + "type": "basic.info", + "data": { + "info": "Modo normal", + "readonly": true }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1960, + "y": 352 + }, + "size": { + "width": 112, + "height": 32 } }, { - "source": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 800, + "y": 848 }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "id": "9422b04f-ddaf-4c84-89bd-f86426c4102e", + "type": "basic.info", + "data": { + "info": "Señal que indica si el controladore está \nen la fase de inicialización o no", + "readonly": true }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 864, + "y": 792 + }, + "size": { + "width": 344, + "height": 56 } }, { - "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "052bdf09-bf96-4e1b-be26-437437dfa533", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", + "position": { + "x": 1544, + "y": 608 }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 96 } }, { - "source": { - "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "port": "constant-out" + "id": "b80beb59-7db6-46b7-bbf6-02256373d959", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 1360, + "y": 664 }, - "target": { - "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "port": "out" + "id": "c2cf16c6-d7f4-4d91-a737-bcb6ef268c4f", + "type": "basic.info", + "data": { + "info": "## Seleccionar las entradas según el modo: Inicialización o normal\n\n", + "readonly": true }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + "position": { + "x": 1352, + "y": 472 + }, + "size": { + "width": 696, + "height": 48 } }, { - "source": { - "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", - "port": "out" - }, - "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90" - }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "192e9c77-ecdc-43fb-8383-b7d684012364" - }, - "size": 5 - }, - { - "source": { - "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "port": "a87dc65e-e688-4659-8d4b-a8d56894145e" - }, - "target": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "469d5d34-b849-4fcd-b5a5-c47808d7b043" - }, - "size": 5 - } - ] - } - } - }, - "438dedd956354c574afcde6f0793a7d369b2a031": { - "package": { - "name": "Machine-state", - "version": "0.1", - "description": "Biestable de almacenamiento del estado de la máquina", - "author": "Juan Gonzalez-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22404.343%22%20width=%22319.18%22%20id=%22svg4%22%3E%3Cdefs%20id=%22defs8%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path898%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-53%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-91%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-2%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path974%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20d=%22M124.728%2079.637c-24.895%200-45.091%2020.196-45.091%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.049-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.979-15.575-19.578%208.04-9.938%2030.421-1.27%204.038h-28.41L98.85%20215.155%2079.27%20207.08l-28.514%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.37-9.92L0%20139.555v-28.393L34.362%2098.85l8.067-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L109.92%200h28.401l12.304%2034.38%2019.527%208.084%2028.523-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20id=%22path2%22%20fill=%22#00f%22%20stroke-width=%22.278%22/%3E%3Cg%20id=%22g992-5%22%20transform=%22matrix(3.23542%200%200%203.23542%20-54.399%20117.43)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22g1022%22%20transform=%22matrix(.5585%200%200%20.5585%2076.484%2043.495)%22%3E%3Cg%20transform=%22translate(3.47%203.198)%22%20id=%22layer1%22%3E%3Cpath%20id=%22path9-3%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path11%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20id=%22line17%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20id=%22path21-3%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path826%22%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20ry=%2219.847%22%20y=%223.671%22%20x=%2295.783%22%20height=%22135.189%22%20width=%22135.189%22%20id=%22rect845%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20id=%22path7-6%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20class=%22st2%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/g%3E%3Cg%20id=%22g992-5-7%22%20transform=%22matrix(3.23542%200%200%203.23542%20-57.653%2011.124)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62-5%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9-3%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "0d991cee-b329-439b-b9e7-5712d2db539d", - "type": "basic.output", + "id": "42ddc817-5c60-4a4d-9fb2-2065517213bd", + "type": "basic.info", "data": { - "name": "state" + "info": "Modo normal", + "readonly": true }, "position": { - "x": 960, - "y": 8 - } - }, - { - "id": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", - "type": "basic.output", - "data": { - "name": "rst" + "x": 1368, + "y": 744 }, - "position": { - "x": 960, - "y": 120 + "size": { + "width": 112, + "height": 32 } }, { - "id": "046501b8-4427-4d4d-af97-7fe807774f33", - "type": "basic.input", + "id": "9a8dd966-bc11-4957-b881-9fc4d9c2c7f0", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Modo inicialización", + "readonly": true }, "position": { - "x": 408, - "y": 184 - } - }, - { - "id": "aefe9248-3b3d-441b-9e65-33cda65b99dd", - "type": "basic.output", - "data": { - "name": "on" + "x": 1352, + "y": 568 }, - "position": { - "x": 960, - "y": 256 + "size": { + "width": 184, + "height": 32 } }, { - "id": "88176871-35ac-42bf-bf62-36c81a4b4b47", - "type": "basic.input", - "data": { - "name": "start", - "clock": false - }, + "id": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", "position": { - "x": 424, - "y": 288 + "x": 2056, + "y": 592 + }, + "size": { + "width": 96, + "height": 96 } }, { - "id": "ba15eacb-1e03-4580-932b-3231703481e5", - "type": "basic.input", + "id": "e156b7c4-d5a8-4d04-9262-43b6a87dd030", + "type": "basic.info", "data": { - "name": "stop", - "clock": false + "info": "Modo normal", + "readonly": true }, "position": { - "x": 424, - "y": 352 + "x": 1880, + "y": 712 + }, + "size": { + "width": 112, + "height": 32 } }, { - "id": "3802390d-8417-4369-b93c-dda647ccb0c6", - "type": "basic.output", + "id": "87bf3e8e-d1d8-4e5e-900e-8d6ce8cc1bca", + "type": "basic.info", "data": { - "name": "off" + "info": "Modo inicialización", + "readonly": true }, "position": { - "x": 960, - "y": 360 - } - }, - { - "id": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", - "position": { - "x": 600, - "y": 272 + "x": 1864, + "y": 552 }, "size": { - "width": 96, - "height": 96 + "width": 184, + "height": 32 } }, { - "id": "03835a1e-c674-4fa3-927b-0511beff772c", - "type": "c386a7076c0569a15326b30b6748ca284426424d", + "id": "5a037e31-7da9-4835-aa9b-2f39fa0709be", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 776, - "y": 272 + "x": 1872, + "y": 576 }, "size": { "width": 96, @@ -2832,1289 +2745,1134 @@ } }, { - "id": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", + "id": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "type": "d1a52ec25aee5e4823102a32325600666fe99e12", "position": { - "x": 736, - "y": 120 + "x": 1544, + "y": 904 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "42f19387-aa1f-4514-88aa-adca9bf9f03b", + "id": "5bd80e70-677e-4d21-9d22-e856719a4a60", "type": "basic.info", "data": { - "info": "**Tic de apagado**", + "info": "Modo inicialización", "readonly": true }, "position": { - "x": 960, - "y": 344 + "x": 1376, + "y": 856 }, "size": { - "width": 168, - "height": 40 + "width": 184, + "height": 32 } }, { - "id": "eaab4880-4c6d-422f-a8ab-c06e579aa7c6", + "id": "d7a92edf-cd96-48a5-ab53-9a24f26ceff3", "type": "basic.info", "data": { - "info": "**Tic de encendido**", + "info": "Modo normal", "readonly": true }, "position": { - "x": 952, - "y": 240 + "x": 1376, + "y": 1016 }, "size": { - "width": 168, - "height": 40 + "width": 112, + "height": 32 } }, { - "id": "4e85996b-c40e-4f84-bfbc-ff87d4bc425d", - "type": "basic.info", - "data": { - "info": "**Inicializar**", - "readonly": true - }, + "id": "ba182779-c056-478b-8e36-1da81329baba", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", "position": { - "x": 968, - "y": 96 + "x": 2056, + "y": 928 }, "size": { - "width": 168, - "height": 40 + "width": 96, + "height": 96 } }, { - "id": "130f81c9-ce87-47a8-b393-762b31a724fc", + "id": "d014a960-bc04-4ba6-bdd6-a982df503a2a", "type": "basic.info", "data": { - "info": "**Estado de la máquina**", + "info": "Modo inicialización", "readonly": true }, "position": { - "x": 936, - "y": -16 + "x": 1904, + "y": 880 }, "size": { - "width": 168, - "height": 40 + "width": 184, + "height": 32 } - } - ], - "wires": [ + }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + "id": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 2080, + "y": -280 }, - "target": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" - }, - "target": { - "block": "0d991cee-b329-439b-b9e7-5712d2db539d", - "port": "in" + "id": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "position": { + "x": 2384, + "y": -16 }, - "vertices": [ - { - "x": 720, - "y": 144 - } - ] + "size": { + "width": 96, + "height": 96 + } }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + "id": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 680, + "y": 672 }, - "target": { - "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "type": "bc3dff0a8356bc427c7a1e4c5ad3a3846e4c8299", + "position": { + "x": 832, + "y": 88 }, - "target": { - "block": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", - "port": "in" + "size": { + "width": 192, + "height": 160 } }, { - "source": { - "block": "88176871-35ac-42bf-bf62-36c81a4b4b47", - "port": "out" + "id": "1df5b052-3b0e-44c3-a270-226a635c0402", + "type": "5528a6b349a945fa8bb99c41ae93efd033c1c18d", + "position": { + "x": 1632, + "y": -16 }, - "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + "size": { + "width": 96, + "height": 192 + } + }, + { + "id": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 880, + "y": 544 + }, + "size": { + "width": 96, + "height": 96 } }, + { + "id": "760da67c-6906-462a-81d5-a39938f8cac7", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 592, + "y": 560 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "ba15eacb-1e03-4580-932b-3231703481e5", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" - } + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "aefe9248-3b3d-441b-9e65-33cda65b99dd", - "port": "in" + "block": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", + "port": "inlabel" } }, { "source": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "3ada5999-55ba-4c4e-9877-a3e9ed82308c" + "block": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", + "port": "out", + "size": 8 }, "target": { - "block": "3802390d-8417-4369-b93c-dda647ccb0c6", - "port": "in" - } + "block": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", + "port": "inlabel" + }, + "size": 8 }, { "source": { - "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "block": "3f2aa475-ede5-41f3-b8e3-b705332e72de", "port": "out" }, "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "9f09a4af-8f7a-45c3-af7b-293a244e76d9" + "block": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "port": "inlabel" } }, { "source": { - "block": "046501b8-4427-4d4d-af97-7fe807774f33", - "port": "out" + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - } - ] - } - } - }, - "b959c256104d1064a5ef7b38632ffb6eed3b396f": { - "package": { - "name": "Biestable-Set-Reset", - "version": "0.1", - "description": "Biestable con entradas de Set y Reset síncronas, para poner y quitar notaficaciones de eventos", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20234.62951%20290.44458%22%20id=%22svg30%22%20width=%22234.63%22%20height=%22290.445%22%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(3.47%203.198)%22%3E%3Cpath%20class=%22st1%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20id=%22path9%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "block": "0ab5add2-3485-4419-95f2-c6a722533087", + "port": "inlabel" }, - "position": { - "x": 200, - "y": 64 - } + "vertices": [] }, { - "id": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "type": "basic.input", - "data": { - "name": "set", - "clock": false + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, - "position": { - "x": 200, - "y": 152 + "target": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { - "id": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "5ba7b723-cc87-4e69-8494-be27491be24b", + "port": "outlabel" }, - "position": { - "x": 720, - "y": 152 + "target": { + "block": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" } }, { - "id": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "750c0892-e316-4030-ab97-be28a90e1b76", + "size": 8 }, - "position": { - "x": 200, - "y": 232 - } + "target": { + "block": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", + "port": "inlabel" + }, + "vertices": [], + "size": 8 }, { - "id": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d" }, - "position": { - "x": 464, - "y": 0 - } + "target": { + "block": "6d089d72-773a-4edd-82a0-5dfacadb1184", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (set)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "set" - }, - { - "name": "rst" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d" }, - "position": { - "x": 400, - "y": 120 + "target": { + "block": "5661df88-f6d1-49d6-bf74-258557be8bcb", + "port": "inlabel" }, - "size": { - "width": 224, - "height": 128 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "port": "out" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "clk" + "block": "945a1ba2-9167-460e-9d8c-8a4b172524e8", + "port": "inlabel" }, - "vertices": [ - { - "x": 336, - "y": 104 - } - ] + "vertices": [] }, { "source": { - "block": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "port": "out" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "set" - } + "block": "a290409c-8fc0-4f31-974a-4886b48031e3", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "port": "out" + "block": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "port": "outlabel" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "rst" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "3df4344a-4d89-479a-a80e-6ba195a6ff79" }, - "vertices": [ - { - "x": 344, - "y": 248 - } - ] + "vertices": [] }, { "source": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "q" + "block": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", + "port": "outlabel" }, "target": { - "block": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "port": "in" - } + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { "source": { - "block": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "port": "constant-out" + "block": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", + "port": "outlabel" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "INI" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } - } - ] - } - } - }, - "c386a7076c0569a15326b30b6748ca284426424d": { - "package": { - "name": "Detector-flancos", - "version": "0.1", - "description": "Detector de flancos de subida y bajada. Emite tic por las salidas correspondientes al detecta los flancos", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22375.877%22%20height=%22399.413%22%20viewBox=%220%200%2099.450701%20105.67809%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22b%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-61.727%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.826%2011.41)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M74.965%20140.485l6.027%207.974-5.055%206.03%201.75%201.557m-3.96-15.367l-3.5%207.975-7.97%201.556.582%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M74.695%20110.063v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M63.906%20130.255l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.208%20120.573c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.654%20146.85v-43.764H121.43v43.496%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22111.543%22%20cy=%22149.329%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-142.409%22%20cy=%22143.124%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M125.128%20110.887v3.874M128.718%20110.887v3.874%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M86.122%20125.567h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#b)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2063.956%2061.544)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "bdbaf9de-f627-41d1-a915-6b9c420deb8d" }, - "position": { - "x": 152, - "y": 160 - } - }, - { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "up" + "target": { + "block": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "port": "inlabel" }, - "position": { - "x": 672, - "y": 160 - } + "vertices": [] }, { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7" }, - "position": { - "x": 152, - "y": 248 - } - }, - { - "id": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", - "type": "basic.output", - "data": { - "name": "down" + "target": { + "block": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", + "port": "inlabel" }, - "position": { - "x": 672, - "y": 248 - } + "vertices": [] }, { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Detector doble: flancos de subida y bajada\n\nSe detectan tanto los flancos de subida como de bajada y se emite los \ntics por sus salidas correspondientes", - "readonly": true + "source": { + "block": "d9bdb9fe-338e-4066-befe-5beb48905dc8", + "port": "outlabel" }, - "position": { - "x": 144, - "y": -24 + "target": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852" }, - "size": { - "width": 568, - "height": 80 - } + "vertices": [] }, { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Señal de \nentrada", - "readonly": true + "source": { + "block": "9233847f-201d-41d2-a916-7c1eea4d7239", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 216 + "target": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "03e28265-5f95-42d6-8c5f-78975349b9c4" }, - "size": { - "width": 96, - "height": 56 - } + "vertices": [] }, { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "Reloj del \nsistema", - "readonly": true + "source": { + "block": "5a999f26-6728-4451-b529-6fd101c0da93", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 120 + "target": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": { - "width": 96, - "height": 48 - } + "vertices": [] }, { - "id": "73c2239c-1050-4d9f-ae49-0299d50982af", - "type": "basic.code", - "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign up = (~q & i); \nassign down = (q & ~i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "up" - }, - { - "name": "down" - } - ] - } + "source": { + "block": "11645bae-f6bd-4389-9b75-46b74b11891e", + "port": "outlabel" }, - "position": { - "x": 336, - "y": 152 + "target": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "00289418-0491-4aa5-9cfd-6213c65a42db", + "size": 8 }, - "size": { - "width": 264, - "height": 168 - } - } - ], - "wires": [ + "vertices": [], + "size": 8 + }, { "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "clk" - } + "block": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, "target": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "i" - } + "block": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "up" + "block": "4077db41-3118-4b2b-8e7c-e02f03403951", + "port": "outlabel" }, "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] }, { "source": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "down" + "block": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", + "port": "outlabel" }, "target": { - "block": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", - "port": "in" - } - } - ] - } - } - }, - "35f267d0df6ffcb7fc33753bc9df9cf083642cca": { - "package": { - "name": "NOT", - "version": "1.0.3", - "description": "Puerta NOT", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" - }, - "position": { - "x": 64, - "y": 144 + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 752, - "y": 144 - } + "target": { + "block": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "source": { + "block": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", + "port": "outlabel" }, - "position": { - "x": 256, - "y": 48 + "target": { + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": { - "width": 400, - "height": 256 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "dca00596-5e72-496b-95a7-5b544abc6781", + "port": "outlabel" }, "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - } + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "c" + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "112ed8d8-1940-442e-9692-122482e8601a", + "port": "inlabel" + }, + "vertices": [] + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "25305d01-7980-4a92-8a5d-3d370f92edf0", + "port": "outlabel" }, - "position": { - "x": 192, - "y": 136 - } + "target": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", + "port": "outlabel" }, - "position": { - "x": 680, - "y": 184 - } + "target": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false + "source": { + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 192, - "y": 232 - } + "target": { + "block": "aacafad5-ebae-4fbb-b987-46e527ef6736", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "12a003b2-96ca-479e-a925-273a66c415c9", + "port": "outlabel" }, - "position": { - "x": 456, - "y": 64 - } + "target": { + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "6e03417e-d26a-47ca-bbf7-abed12cf829f", + "port": "outlabel" }, - "position": { - "x": 384, - "y": 168 + "target": { + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, - "size": { - "width": 232, - "height": 88 - } - } - ], - "wires": [ + "vertices": [ + { + "x": 2000, + "y": 648 + } + ] + }, { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "size": 8 }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } + "block": "5e154911-3b02-4c25-b8b4-67a2cec51df8", + "port": "inlabel" + }, + "vertices": [], + "size": 8 }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", + "port": "outlabel" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "f3d19b64-b930-407e-8c30-f288d5554f77", + "port": "outlabel" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "vertices": [], + "size": 8 }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "block": "2d234231-a3ce-4933-a5fe-407c45ca0d49", + "port": "outlabel" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { - "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "vertices": [ + { + "x": 1496, + "y": 960 + } + ], + "size": 8 + }, { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 64, - "y": 88 - } + "target": { + "block": "28815f36-60f4-4bcb-9b79-adf4436596f4", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "4cb21564-35b2-42d6-9c4c-8976682fd720", + "port": "outlabel" }, - "position": { - "x": 784, - "y": 152 - } + "target": { + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "d72de4a7-d7bd-4953-9ab1-501a0d876133", + "port": "outlabel" }, - "position": { - "x": 64, - "y": 224 - } + "target": { + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "source": { + "block": "8dfb44d1-7475-43bc-9627-5355d505e3a3", + "port": "outlabel" }, - "position": { - "x": 256, - "y": 48 + "target": { + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, - "size": { - "width": 464, - "height": 272 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "e51f952d-706e-4c3c-87ca-bba691fed3d4", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } + "block": "22fdad75-628e-49fb-925b-27a74e8a8e4a", + "port": "in", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "block": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", + "port": "in" } }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "e58a680a-b7d8-44b9-9942-203656bb79dd", + "port": "outlabel" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "076fd796-3b96-4de8-9198-e6e8888b5ba8", "port": "in" } - } - ] - } - } - }, - "81613874c6152f06c06ed7014bf4235900cfcc30": { - "package": { - "name": "OR", - "version": "1.0.1", - "description": "Puerta OR", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4" }, - "position": { - "x": 64, - "y": 88 + "target": { + "block": "67387837-1d48-4ba2-976e-f18a9e0f8376", + "port": "inlabel" } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", + "port": "outlabel" }, - "position": { - "x": 784, - "y": 152 + "target": { + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "3e5cba81-f603-48e0-b036-13f4e319197d", + "port": "outlabel" }, - "position": { - "x": 64, - "y": 224 + "target": { + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta OR\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a | b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 + "source": { + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": { - "width": 464, - "height": 272 + "target": { + "block": "a6b77c0f-04de-46b4-adee-acf026acab7d", + "port": "inlabel" } - } - ], - "wires": [ + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "bb49a449-4992-4667-8697-84304a1987af", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "a3b58fde-785d-4a3a-8581-ba957700cfbc", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [] }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", + "port": "outlabel" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "92f3f1841aa67b4ab090bd8d975cef82ec86f688": { - "package": { - "name": "Registro", - "version": "0.1", - "description": "Registro de 5 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 2344, + "y": 48 + } + ] + }, { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "a10e58ca-ea03-432a-996f-cd686fe48f5e", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 144 - } + "target": { + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + }, + "vertices": [ + { + "x": 2368, + "y": 112 + } + ] }, { - "id": "3b77778a-732e-4bb7-9169-b93066877379", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", + "size": 4 }, - "position": { - "x": 80, - "y": 216 - } + "target": { + "block": "418f1032-586b-4290-a8d5-8d01d3dbab84", + "port": "inlabel" + }, + "size": 4 }, { - "id": "23a50dc6-0372-4232-96d4-4f9bb84402e0", - "type": "basic.output", - "data": { - "name": "q", - "range": "[4:0]", - "size": 5 + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6" }, - "position": { - "x": 632, - "y": 248 + "target": { + "block": "e34cde32-285a-4a10-9564-3f183f9374d1", + "port": "inlabel" } }, { - "id": "192e9c77-ecdc-43fb-8383-b7d684012364", - "type": "basic.input", - "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "source": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, - "position": { - "x": 80, - "y": 272 + "target": { + "block": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", + "port": "inlabel" } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "169cf0af-be61-4260-8041-84c135512759", + "port": "constant-out" }, - "position": { - "x": 80, - "y": 344 - } + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "aaf64b07-17a2-4a88-aa1f-de50490576e4" + }, + "vertices": [] }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "86b6c395-bfa3-4587-8882-6cab271726e3", + "port": "constant-out" }, - "position": { - "x": 376, - "y": 56 - } + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "b2eebea6-ddf2-4343-9c67-540357b39f3c" + }, + "vertices": [ + { + "x": 992, + "y": -16 + } + ] }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 5;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q<=0;\n else\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d", - "range": "[4:0]", - "size": 5 - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q", - "range": "[4:0]", - "size": 5 - } - ] + "source": { + "block": "a21db338-df4f-48a4-b152-c82fec1f9177", + "port": "memory-out" + }, + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "45ea1069-91bb-442b-bc83-934f8cfdcadc" + }, + "vertices": [] + }, + { + "source": { + "block": "fedc3ff5-0acd-464d-971d-c7cbf286889d", + "port": "constant-out" + }, + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "9582be63-5787-4ef5-8ab5-d4ca4c6ee968" + }, + "vertices": [ + { + "x": 888, + "y": -64 } + ] + }, + { + "source": { + "block": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", + "port": "constant-out" }, - "position": { - "x": 280, - "y": 176 + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "bae76498-ebc5-4f79-9de7-ae7241b6dabc" }, - "size": { - "width": 288, - "height": 200 - } - } - ], - "wires": [ + "vertices": [ + { + "x": 880, + "y": 40 + } + ] + }, { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "block": "0d2c265d-25ed-4578-96fc-535e2bfb549e", "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "cd059108-a479-4b5b-a628-3340cc270461" + }, + "vertices": [ + { + "x": 792, + "y": 56 + } + ] + }, + { + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "fe696950-4552-47bb-8027-c7a9928bde98" + }, + "target": { + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" + "block": "a84954f7-810e-4b33-b933-994656925230", + "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "3ef4478a-c7bc-42d3-8288-3c787548347e" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "b80beb59-7db6-46b7-bbf6-02256373d959", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [ + { + "x": 1488, + "y": 664 + } + ] }, { "source": { - "block": "192e9c77-ecdc-43fb-8383-b7d684012364", - "port": "out" + "block": "5a037e31-7da9-4835-aa9b-2f39fa0709be", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": 5 + "vertices": [] }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" }, "target": { - "block": "23a50dc6-0372-4232-96d4-4f9bb84402e0", + "block": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", "port": "in" }, - "size": 5 + "vertices": [], + "size": 3 }, { "source": { - "block": "3b77778a-732e-4bb7-9169-b93066877379", - "port": "out" + "block": "760da67c-6906-462a-81d5-a39938f8cac7", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "rst" + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c" + }, + "size": 32 + }, + { + "source": { + "block": "f027a3dd-1b00-421b-8ccc-43dc54000b64", + "port": "constant-out" + }, + "target": { + "block": "760da67c-6906-462a-81d5-a39938f8cac7", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + }, + { + "source": { + "block": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" } } ] } } }, - "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e": { + "370abb401e524efd3125464b094b41328bfde9b4": { "package": { - "name": "adder-1op-5bits", + "name": "DeMux-1-2", "version": "0.1", - "description": "5-bits adder. One operand is a constant (no carry)", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "1-to-2 DeMultplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", - "type": "basic.input", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 216, - "y": 192 + "x": 744, + "y": 368 } }, { - "id": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", - "type": "basic.output", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { "name": "", - "range": "[4:0]", - "size": 5 + "clock": false }, "position": { - "x": 664, - "y": 192 + "x": 136, + "y": 416 } }, { - "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "type": "basic.constant", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "1", - "local": false + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 448, - "y": 96 + "x": 272, + "y": 416 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", "data": { - "code": "assign s = a + k;", - "params": [ - { - "name": "k" - } - ], - "ports": { - "in": [ - { - "name": "a", - "range": "[4:0]", - "size": 5 - } - ], - "out": [ - { - "name": "s", - "range": "[4:0]", - "size": 5 - } - ] - } + "name": "1" }, "position": { - "x": 384, - "y": 192 + "x": 1032, + "y": 424 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 136, + "y": 528 + } + }, + { + "id": "6daf7ae7-6704-44ee-b291-2e8188102286", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 752, + "y": 544 + } + }, + { + "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 1040, + "y": 600 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 464, + "y": 648 + } + }, + { + "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 888, + "y": 424 }, "size": { - "width": 216, + "width": 96, + "height": 64 + } + }, + { + "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 648 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 888, + "y": 600 + }, + "size": { + "width": 96, "height": 64 } } @@ -4122,1549 +3880,1774 @@ "wires": [ { "source": { - "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "port": "constant-out" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "k" + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" } }, { "source": { - "block": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" }, - "size": 5 + "target": { + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "6daf7ae7-6704-44ee-b291-2e8188102286", + "port": "outlabel" }, "target": { - "block": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 584, + "y": 544 + } + ] + }, + { + "source": { + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", "port": "in" + } + }, + { + "source": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": 5 + "target": { + "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "port": "in" + } + }, + { + "source": { + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } } ] } } }, - "dcac317be3a1ce461a24d7a75d6c112790f3b0d9": { + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { "package": { - "name": "2-op-comp", - "version": "0.1", - "description": "two operand 5-bits comparator", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "469d5d34-b849-4fcd-b5a5-c47808d7b043", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "name": "" }, "position": { - "x": 176, - "y": 120 + "x": 96, + "y": 56 } }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 616, - "y": 160 + "x": 600, + "y": 96 } }, { - "id": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "name": "" }, "position": { - "x": 176, - "y": 216 + "x": 96, + "y": 128 } }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "assign eq = (a == b);", + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[4:0]", - "size": 5 + "name": "a" }, { - "name": "b", - "range": "[4:0]", - "size": 5 + "name": "b" } ], "out": [ { - "name": "eq" + "name": "c" } ] } }, "position": { - "x": 344, - "y": 160 + "x": 256, + "y": 48 }, "size": { - "width": 224, - "height": 64 + "width": 304, + "height": 152 } } ], "wires": [ { "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "port": "in" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", "port": "b" - }, - "size": 5 + } }, { "source": { - "block": "469d5d34-b849-4fcd-b5a5-c47808d7b043", - "port": "out" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" - }, - "size": 5 + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "Constante-5bits", - "version": "0.0.1", - "description": "Valor genérico constante (menos 1), de 5 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a87dc65e-e688-4659-8d4b-a8d56894145e", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "k", - "range": "[4:0]", - "size": 5 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 112, + "y": 72 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "1", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 112 + "x": 560, + "y": 72 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE-1;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[4:0]", - "size": 5 + "name": "q" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 } } ], "wires": [ { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, "target": { - "block": "a87dc65e-e688-4659-8d4b-a8d56894145e", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 5 + } } ] } } }, - "5681847446606150d3a2df8938776629c3ec7cba": { + "873425949b2a80f1a7f66f320796bcd068a59889": { "package": { - "name": "LCD-controller-open-4-bits", - "version": "0.3", - "description": "LCD-controller-open-4-bits: Controlador de LCD en bucle abierto con interfaz de 4-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22582.772%22%20height=%22514.404%22%20viewBox=%220%200%20154.1918%20136.10265%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-30.881%20-39.646)%22%3E%3Cpath%20d=%22M162.957%20104.088c0%203.014-1.96%202.635-3.282%202.635H50.325c-1.322%200-2.4-1.094-2.4-4.108V42.693c0-3.022%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M56.314%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.082%200h6.064v6.219h-6.064zm6.064%200h6.081v6.219H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2054.718h6.08v6.211h-6.08zm6.08%200h6.073v6.211h-6.072zm6.082%200h6.064v6.211h-6.064zm6.064%200h6.081v6.211H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2060.929h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2067.158h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2073.386h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2079.615h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.082%200h6.064v6.22h-6.064zm6.064%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2085.835h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2092.063h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072zm6.08%200h6.065v6.22h-6.064zm6.065%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2048.49h6.072v6.219h-6.072zm6.072%200h6.081v6.219h-6.08zm6.081%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2048.49h6.073v6.219h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2054.718h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2092.063h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2092.063h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.073%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2048.49h6.073v6.219h-6.073zM147.479%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2054.718h6.073v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2060.929h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2067.158h6.08v6.228h-6.08zm-24.307%206.228h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2073.386h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2079.615h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2092.063h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2092.063h6.073v6.22h-6.073zM147.479%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2229.509%22%20y=%22140.759%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2229.509%22%20y=%22140.759%22%20font-weight=%22700%22%3EController%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.874%22%20y=%22169.801%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.874%22%20y=%22169.801%22%20font-weight=%22700%22%3EOpen%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2212.621%22%20cy=%22102.335%22%20cx=%22162.358%22%20fill=%22#fff%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22109.323%22%20x=%22155.442%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.323%22%20x=%22155.442%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1666087588919 + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", - "type": "basic.outputLabel", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "busy3", - "blockColor": "navy" + "name": "" }, "position": { - "x": 1920, - "y": -296 + "x": 112, + "y": 40 } }, { - "id": "a6b77c0f-04de-46b4-adee-acf026acab7d", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "busy", - "blockColor": "navy" + "name": "" }, "position": { - "x": 2216, - "y": -280 + "x": 608, + "y": 72 } }, { - "id": "3e5cba81-f603-48e0-b036-13f4e319197d", - "type": "basic.outputLabel", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "name": "busy_ini", - "blockColor": "navy" + "name": "" }, "position": { - "x": 1920, - "y": -232 + "x": 112, + "y": 96 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "name": "busy" + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 2528, - "y": -144 + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "bb49a449-4992-4667-8697-84304a1987af", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy" + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, - "position": { - "x": 2392, - "y": -144 + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { - "id": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, - "position": { - "x": 1456, - "y": -128 + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { - "id": "67387837-1d48-4ba2-976e-f18a9e0f8376", - "type": "basic.inputLabel", - "data": { - "name": "busy3", - "blockColor": "navy" + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, - "position": { - "x": 1792, - "y": -104 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" } - }, + } + ] + } + } + }, + "09be4222bca27dda5ca84bf0f48ba2c5c1df2122": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ { - "id": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", - "type": "basic.inputLabel", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", "data": { - "name": "rs", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 1816, - "y": -48 + "x": 456, + "y": 360 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": 216, - "y": -40 + "x": 1096, + "y": 392 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "1", + "clock": false }, "position": { - "x": 368, - "y": -40 + "x": 136, + "y": 416 } }, { - "id": "a3b58fde-785d-4a3a-8581-ba957700cfbc", - "type": "basic.outputLabel", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", "data": { - "name": "E", - "blockColor": "lightgray" + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 2224, - "y": -32 + "x": 272, + "y": 416 } }, { - "id": "5a999f26-6728-4451-b529-6fd101c0da93", - "type": "basic.outputLabel", + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", "data": { - "name": "rs2", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1440, - "y": -24 + "x": 272, + "y": 528 } }, { - "id": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", - "type": "basic.output", + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", "data": { - "name": "ctrl", - "range": "[2:0]", - "size": 3 + "name": "0", + "clock": false }, "position": { - "x": 2536, - "y": 0 + "x": 136, + "y": 528 } }, { - "id": "9233847f-201d-41d2-a916-7c1eea4d7239", + "id": "8d94a294-a698-43c5-9777-874fd39b8586", "type": "basic.outputLabel", "data": { - "name": "cmd2", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1440, - "y": 32 + "x": 616, + "y": 552 } }, { - "id": "4f168944-7d2d-45d9-b27b-a1744a45668c", - "type": "basic.inputLabel", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "name": "E", - "blockColor": "lightgray" + "name": "sel", + "clock": false }, "position": { - "x": 1856, - "y": 32 + "x": 376, + "y": 656 } }, { - "id": "945a1ba2-9167-460e-9d8c-8a4b172524e8", - "type": "basic.inputLabel", - "data": { - "name": "busy2", - "blockColor": "navy" - }, + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 1088, - "y": 32 + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", - "type": "basic.outputLabel", - "data": { - "name": "rs", - "blockColor": "fuchsia" - }, + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 2224, - "y": 40 + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "a290409c-8fc0-4f31-974a-4886b48031e3", - "type": "basic.inputLabel", - "data": { - "name": "cmd", - "blockColor": "fuchsia" - }, + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 1128, - "y": 80 + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 680, - "y": 80 + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 } + } + ], + "wires": [ + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "e34cde32-285a-4a10-9564-3f183f9374d1", - "type": "basic.inputLabel", - "data": { - "name": "rw", - "blockColor": "fuchsia" + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" }, - "position": { - "x": 1864, - "y": 88 - } + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "11645bae-f6bd-4389-9b75-46b74b11891e", - "type": "basic.outputLabel", - "data": { - "name": "din2", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 1456, - "y": 96 + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" } }, { - "id": "a10e58ca-ea03-432a-996f-cd686fe48f5e", - "type": "basic.outputLabel", - "data": { - "name": "rw", - "blockColor": "fuchsia" + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, - "position": { - "x": 2224, - "y": 112 + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rs", - "clock": false + "source": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 216, - "y": 136 - } + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + }, + "vertices": [] }, { - "id": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", - "type": "basic.inputLabel", - "data": { - "name": "rs1", - "blockColor": "fuchsia" + "source": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 360, - "y": 136 - } + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "fuchsia" + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 680, - "y": 136 - } + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", - "type": "basic.inputLabel", - "data": { - "name": "din_ini", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 1136, - "y": 136 - } + "target": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "418f1032-586b-4290-a8d5-8d01d3dbab84", - "type": "basic.inputLabel", + "source": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + } + ] + } + } + }, + "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { + "package": { + "name": "bit-1", + "version": "0.2", + "description": "Constant bit 1", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "dout", - "range": "[3:0]", - "blockColor": "royalblue", - "size": 4 + "name": "" }, "position": { - "x": 1848, - "y": 152 + "x": 456, + "y": 120 } }, { - "id": "d9bdb9fe-338e-4066-befe-5beb48905dc8", - "type": "basic.outputLabel", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "write2", - "blockColor": "red" + "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 1464, - "y": 168 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "22fdad75-628e-49fb-925b-27a74e8a8e4a", + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", "type": "basic.output", "data": { - "name": "d", - "range": "[3:0]", - "size": 4 + "name": "" }, "position": { - "x": 2536, - "y": 192 + "x": 456, + "y": 120 } }, { - "id": "53130653-abaa-49a5-a17c-36543f5c0d2a", - "type": "basic.outputLabel", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "next", - "blockColor": "darkgreen" + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 680, - "y": 192 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "e51f952d-706e-4c3c-87ca-bba691fed3d4", + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "d1a52ec25aee5e4823102a32325600666fe99e12": { + "package": { + "name": "8-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (8-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", "type": "basic.outputLabel", "data": { - "name": "dout", + "name": "A1", "range": "[3:0]", - "blockColor": "royalblue", + "blockColor": "fuchsia", "size": 4 }, "position": { - "x": 2384, + "x": 768, "y": 192 } }, { - "id": "6d089d72-773a-4edd-82a0-5dfacadb1184", + "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", "type": "basic.inputLabel", "data": { - "name": "write_ini", - "blockColor": "red" + "name": "A1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 1112, - "y": 224 + "x": 552, + "y": 208 } }, { - "id": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", - "type": "basic.inputLabel", - "data": { - "name": "din1", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 - }, - "position": { - "x": 368, - "y": 232 - } - }, - { - "id": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", + "id": "520455a3-592c-4fd0-ade9-62d366c88919", "type": "basic.input", "data": { - "name": "d", + "name": "1", "range": "[7:0]", "clock": false, "size": 8 }, "position": { - "x": 216, - "y": 232 + "x": 200, + "y": 264 } }, { - "id": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", - "type": "basic.inputLabel", + "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "type": "basic.outputLabel", "data": { - "name": "next", - "blockColor": "darkgreen" + "name": "B1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 1976, - "y": 272 + "x": 768, + "y": 264 } }, { - "id": "5661df88-f6d1-49d6-bf74-258557be8bcb", + "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", "type": "basic.inputLabel", "data": { - "name": "done_init", - "blockColor": "springgreen" + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 1080, + "x": 544, "y": 280 } }, { - "id": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", - "type": "basic.output", + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", "data": { - "name": "init_done" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2536, - "y": 296 + "x": 808, + "y": 416 } }, { - "id": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", - "type": "basic.outputLabel", + "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "type": "basic.output", "data": { - "name": "done_init", - "blockColor": "springgreen" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 2400, - "y": 296 + "x": 1336, + "y": 432 } }, { - "id": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", "type": "basic.inputLabel", "data": { - "name": "write1", - "blockColor": "red" + "name": "B1", + "range": "[3:0]", + "blockColor": "lightseagreen", + "size": 4 }, "position": { - "x": 368, - "y": 312 + "x": 584, + "y": 528 } }, { - "id": "3f2aa475-ede5-41f3-b8e3-b705332e72de", - "type": "basic.input", + "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "type": "basic.outputLabel", "data": { - "name": "write", - "clock": false + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 216, - "y": 312 + "x": 808, + "y": 552 } }, { - "id": "4077db41-3118-4b2b-8e7c-e02f03403951", - "type": "basic.outputLabel", + "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "type": "basic.input", "data": { - "name": "busy_ini", - "blockColor": "navy" + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 1664, - "y": 344 + "x": 208, + "y": 592 } }, { - "id": "076fd796-3b96-4de8-9198-e6e8888b5ba8", - "type": "basic.output", + "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "type": "basic.inputLabel", "data": { - "name": "done" + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 2536, - "y": 376 + "x": 584, + "y": 608 } }, { - "id": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", - "type": "basic.inputLabel", + "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 1952, - "y": 376 + "x": 808, + "y": 616 } }, { - "id": "e58a680a-b7d8-44b9-9942-203656bb79dd", + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2400, - "y": 376 + "x": 808, + "y": 680 } }, { - "id": "0ab5add2-3485-4419-95f2-c6a722533087", - "type": "basic.inputLabel", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "name": "busy1", - "blockColor": "navy" + "name": "sel", + "clock": false }, "position": { - "x": 1032, - "y": 496 + "x": 392, + "y": 768 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "navy", + "name": "sel", "oldBlockColor": "fuchsia" }, "position": { - "x": 736, - "y": 528 + "x": 552, + "y": 768 } }, { - "id": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", - "type": "basic.inputLabel", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", "data": { - "name": "start", - "blockColor": "fuchsia" + "info": "Channel B", + "readonly": true }, "position": { - "x": 1032, - "y": 584 + "x": 424, + "y": 512 + }, + "size": { + "width": 144, + "height": 32 } }, { - "id": "25305d01-7980-4a92-8a5d-3d370f92edf0", - "type": "basic.outputLabel", - "data": { - "name": "cmd", - "blockColor": "fuchsia" - }, + "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", "position": { - "x": 1360, - "y": 592 + "x": 968, + "y": 568 + }, + "size": { + "width": 96, + "height": 96 } }, { - "id": "aacafad5-ebae-4fbb-b987-46e527ef6736", - "type": "basic.inputLabel", + "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", + "type": "basic.info", "data": { - "name": "rs2", - "blockColor": "fuchsia" + "info": "Channel A", + "readonly": true }, "position": { - "x": 2192, - "y": 608 + "x": 424, + "y": 168 + }, + "size": { + "width": 144, + "height": 32 } }, { - "id": "112ed8d8-1940-442e-9692-122482e8601a", - "type": "basic.inputLabel", - "data": { - "name": "cmd2", - "blockColor": "fuchsia" - }, + "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", "position": { - "x": 1680, - "y": 624 + "x": 1152, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "5ba7b723-cc87-4e69-8494-be27491be24b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, + "id": "10dbbb33-fa47-4d9f-8723-170519859684", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 608, - "y": 648 + "x": 376, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "6e03417e-d26a-47ca-bbf7-abed12cf829f", - "type": "basic.outputLabel", - "data": { - "name": "rs1", - "blockColor": "fuchsia" - }, + "id": "9714e6fb-453b-435a-b124-72e5a30ff428", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 1872, - "y": 648 + "x": 392, + "y": 592 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "12a003b2-96ca-479e-a925-273a66c415c9", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" - }, + "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", "position": { - "x": 1872, - "y": 760 + "x": 960, + "y": 248 + }, + "size": { + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { - "id": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 1360, - "y": 768 + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" } }, { - "id": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", - "type": "basic.outputLabel", - "data": { - "name": "busy2", - "blockColor": "navy" + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, - "position": { - "x": 648, - "y": 832 + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", - "type": "basic.inputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "port": "outlabel" }, - "position": { - "x": 936, - "y": 848 - } + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "vertices": [ + { + "x": 928, + "y": 624 + } + ], + "size": 4 }, { - "id": "f3d19b64-b930-407e-8c30-f288d5554f77", - "type": "basic.outputLabel", - "data": { - "name": "din_ini", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "port": "outlabel" }, - "position": { - "x": 1376, - "y": 888 - } + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 }, { - "id": "dca00596-5e72-496b-95a7-5b544abc6781", - "type": "basic.outputLabel", - "data": { - "name": "busy1", - "blockColor": "navy" + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, - "position": { - "x": 650, - "y": 896 - } + "target": { + "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "port": "inlabel" + }, + "size": 4 }, { - "id": "d72de4a7-d7bd-4953-9ab1-501a0d876133", - "type": "basic.outputLabel", - "data": { - "name": "write_ini", - "blockColor": "red" + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, - "position": { - "x": 1896, - "y": 912 - } + "target": { + "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "port": "inlabel" + }, + "size": 4 }, { - "id": "5e154911-3b02-4c25-b8b4-67a2cec51df8", - "type": "basic.inputLabel", - "data": { - "name": "din2", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, - "position": { - "x": 1704, - "y": 920 + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "28815f36-60f4-4bcb-9b79-adf4436596f4", - "type": "basic.inputLabel", - "data": { - "name": "write2", - "blockColor": "red" + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, - "position": { - "x": 2192, - "y": 944 - } + "target": { + "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "port": "inlabel" + }, + "size": 4 }, { - "id": "2d234231-a3ce-4933-a5fe-407c45ca0d49", - "type": "basic.outputLabel", - "data": { - "name": "din1", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, - "position": { - "x": 1376, - "y": 952 - } + "target": { + "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "port": "inlabel" + }, + "size": 4 }, { - "id": "8dfb44d1-7475-43bc-9627-5355d505e3a3", - "type": "basic.outputLabel", - "data": { - "name": "write1", - "blockColor": "red" + "source": { + "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "port": "outlabel" }, - "position": { - "x": 1896, - "y": 968 - } + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "size": 4 }, { - "id": "4cb21564-35b2-42d6-9c4c-8976682fd720", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "port": "outlabel" }, - "position": { - "x": 1896, - "y": 1032 - } + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 }, { - "id": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" }, - "position": { - "x": 1368, - "y": 1056 - } + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { - "id": "0d2c265d-25ed-4578-96fc-535e2bfb549e", - "type": "basic.constant", - "data": { - "name": "C", - "value": "1", - "local": false - }, - "position": { - "x": 608, - "y": -40 - } + "source": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "port": "in" + }, + "size": 8 }, { - "id": "a21db338-df4f-48a4-b152-c82fec1f9177", - "type": "basic.memory", - "data": { - "name": "Inicializar_display_4_bits", - "list": "30 //-- Init 0\n30 //-- Init 1\n30 //-- Init 2\n20 //-- Funct Set. 4 bits\n28 //-- Funct Set. 2 lines\n0F //-- Disp ctrl: Disp on. Curso on. Blink ON\n01 //-- CLS\n", - "local": true, - "format": 10 + "source": { + "block": "520455a3-592c-4fd0-ade9-62d366c88919", + "port": "out" }, - "position": { - "x": 728, - "y": -328 + "target": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "size": { - "width": 424, - "height": 160 - } + "size": 8 }, { - "id": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", - "type": "basic.constant", - "data": { - "name": "B", - "value": "1", - "local": false + "source": { + "block": "e045f285-627f-42f7-b073-faa36ef6d420", + "port": "out" }, - "position": { - "x": 728, - "y": -40 - } + "target": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "fedc3ff5-0acd-464d-971d-c7cbf286889d", - "type": "basic.constant", + "source": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "vertices": [ + { + "x": 1088, + "y": 360 + } + ], + "size": 4 + } + ] + } + } + }, + "952eda35358117b68b3f8a2489e9dc86168d0144": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "7", - "local": true + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 824, - "y": -152 + "x": 712, + "y": -72 } }, { - "id": "f027a3dd-1b00-421b-8ccc-43dc54000b64", - "type": "basic.constant", + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", "data": { - "name": "Espera_ms", - "value": "15", - "local": true + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 880, - "y": 472 + "x": 712, + "y": 0 } }, { - "id": "86b6c395-bfa3-4587-8882-6cab271726e3", - "type": "basic.constant", + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "5", - "local": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 960, - "y": -120 + "x": 712, + "y": 72 } }, { - "id": "169cf0af-be61-4260-8041-84c135512759", - "type": "basic.constant", + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", "data": { - "name": "Init", - "value": "4", - "local": true + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1128, - "y": -72 + "x": 712, + "y": 160 } }, { - "id": "a84954f7-810e-4b33-b933-994656925230", - "type": "basic.constant", + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", "data": { - "name": "Fsys", - "value": "12_000_000", - "local": false + "blockColor": "fuchsia", + "name": "A3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1608, - "y": -192 + "x": 432, + "y": 160 } }, { - "id": "a98159b0-93b4-4af8-b3aa-2c421f6529d2", - "type": "basic.info", + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", "data": { - "info": "Arrancar la inicialización!", - "readonly": true + "blockColor": "fuchsia", + "name": "A2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1016, - "y": 656 - }, - "size": { - "width": 272, - "height": 40 + "x": 440, + "y": 224 } }, { - "id": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "type": "f91a2729e24bdc5bb7370785202c65c6526d7a01", - "position": { - "x": 880, - "y": 568 + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "type": "2f169f3a1deff908fb7c4915947bdd3a944d794b", "position": { - "x": 736, - "y": 648 - }, - "size": { - "width": 96, - "height": 64 + "x": 712, + "y": 232 } }, { - "id": "b4934eee-e747-4dd6-986a-3a650d2732b7", - "type": "basic.info", + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", "data": { - "info": "**COMIENZO!**", - "readonly": true + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 736, - "y": 616 - }, - "size": { - "width": 144, - "height": 40 + "x": 120, + "y": 240 } }, { - "id": "2ca2bc5c-5510-4008-afdd-68b3a444a309", - "type": "basic.info", + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", "data": { - "info": "## Retraso inicial\n\nSe deben esperar 15ms para que el LCD arranque", - "readonly": true + "blockColor": "fuchsia", + "name": "A1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 680, - "y": 368 - }, - "size": { - "width": 368, - "height": 72 + "x": 432, + "y": 296 } }, { - "id": "17c10570-79e4-4c17-a872-2cc590f8ab6a", - "type": "basic.info", + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", "data": { - "info": "Comandos necesarios para inicializar \ny configurar el LCD", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1168, - "y": -272 - }, - "size": { - "width": 304, - "height": 56 + "x": 712, + "y": 304 } }, { - "id": "3c503337-4d71-48b8-ace3-e001f62cc18b", - "type": "basic.info", + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", "data": { - "info": "Escribir comando actual", - "readonly": true + "blockColor": "fuchsia", + "name": "A0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1232, - "y": 256 - }, - "size": { - "width": 200, - "height": 32 + "x": 416, + "y": 360 } }, { - "id": "d4a7e9b5-b05b-467e-a306-e9478a92a1ec", - "type": "basic.info", + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", "data": { - "info": "Fin de Inicialización", - "readonly": true + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1192, - "y": 304 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 400 } }, { - "id": "db22636c-9afd-4420-9c4b-0e2f19ac98ce", - "type": "basic.info", + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", "data": { - "info": "Número de comandos \nde inicialización", - "readonly": true + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 1232, - "y": -72 - }, - "size": { - "width": 176, - "height": 48 + "x": 1256, + "y": 416 } }, { - "id": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "type": "f9ba6f319f17e07dc1b30ead541d9becdf39211e", - "position": { - "x": 832, - "y": 88 + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" }, - "size": { - "width": 192, - "height": 160 + "position": { + "x": 456, + "y": 464 } }, { - "id": "2aa67b45-7164-4ca7-a879-8e4cb8c762d5", - "type": "basic.info", + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", "data": { - "info": "Comandos totales", - "readonly": true + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" }, "position": { - "x": 688, - "y": -152 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 488 } }, { - "id": "ad65b9e6-ab2d-4d2d-b09c-25599544eec9", - "type": "basic.info", + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", "data": { - "info": "Cursor ON/OFF", - "readonly": true + "blockColor": "turquoise", + "name": "B2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" }, "position": { - "x": 608, - "y": -80 - }, - "size": { - "width": 136, - "height": 40 + "x": 464, + "y": 528 } }, { - "id": "057e06e7-34c6-4e18-ad8e-3ebe4a1d4032", - "type": "basic.info", + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", "data": { - "info": "Blink ON/OFF", - "readonly": true + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 736, - "y": -80 - }, - "size": { - "width": 136, - "height": 40 + "x": 120, + "y": 544 } }, { - "id": "fcbd1dd3-091a-47cf-94e1-5d7ab073efc8", - "type": "basic.info", + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", "data": { - "info": "Dirección donde está \nel cmd disp_ctrl", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1072, - "y": -144 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 560 } }, { - "id": "df03c562-d346-45a8-a19e-bf9ab0824e03", - "type": "basic.info", + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", "data": { - "info": "Comando a escribir en \nel LCD", - "readonly": true + "blockColor": "turquoise", + "name": "B1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1248, - "y": 168 - }, - "size": { - "width": 192, - "height": 48 + "x": 464, + "y": 592 } }, { - "id": "a20bc4ef-ebc3-4411-9229-d6c89dfe0429", - "type": "basic.info", + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", "data": { - "info": "Tipo de comando", - "readonly": true + "blockColor": "fuchsia", + "name": "A0" }, "position": { - "x": 1248, - "y": 96 - }, - "size": { - "width": 192, - "height": 48 + "x": 720, + "y": 648 } }, { - "id": "d53e38f4-7dd2-41ea-8132-ebc3e0ed1ba9", - "type": "basic.info", + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", "data": { - "info": "LCD-write-open-4-bits", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1632, - "y": 216 - }, - "size": { - "width": 184, - "height": 40 + "x": 432, + "y": 648 } }, { - "id": "d136b7e1-723d-4809-a13d-329086f6b8fe", - "type": "basic.info", + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", "data": { - "info": "Escribir un comando en el LCD", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1520, - "y": -232 - }, - "size": { - "width": 256, - "height": 40 + "x": 720, + "y": 720 } }, { - "id": "ba0115f9-03aa-4382-9ca1-4cae455b1855", - "type": "basic.info", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "info": "Siguiente \ncomando", - "readonly": true - }, - "position": { - "x": 2096, - "y": 272 + "name": "sel", + "clock": false }, - "size": { - "width": 104, - "height": 56 - } - }, - { - "id": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "type": "370abb401e524efd3125464b094b41328bfde9b4", "position": { - "x": 1816, - "y": 288 - }, - "size": { - "width": 96, - "height": 64 + "x": 104, + "y": 728 } }, { - "id": "7fb1679b-6b56-4c10-8618-dab9aa40593d", - "type": "basic.info", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", "data": { - "info": "Modo inicialización", - "readonly": true + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1928, - "y": 248 - }, - "size": { - "width": 184, - "height": 32 + "x": 264, + "y": 728 } }, { - "id": "811959ff-8df1-457f-a1b8-cc4f6820377c", - "type": "basic.info", + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", "data": { - "info": "Modo normal", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1960, - "y": 352 - }, - "size": { - "width": 112, - "height": 32 + "x": 712, + "y": 800 } }, { - "id": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 800, - "y": 848 + "x": 856, + "y": 472 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "9422b04f-ddaf-4c84-89bd-f86426c4102e", - "type": "basic.info", - "data": { - "info": "Señal que indica si el controladore está \nen la fase de inicialización o no", - "readonly": true - }, + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { "x": 864, - "y": 792 - }, - "size": { - "width": 344, - "height": 56 - } - }, - { - "id": "052bdf09-bf96-4e1b-be26-437437dfa533", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 1544, - "y": 608 + "y": 704 }, "size": { "width": 96, @@ -5672,71 +5655,43 @@ } }, { - "id": "b80beb59-7db6-46b7-bbf6-02256373d959", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 1360, - "y": 664 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c2cf16c6-d7f4-4d91-a737-bcb6ef268c4f", - "type": "basic.info", - "data": { - "info": "## Seleccionar las entradas según el modo: Inicialización o normal\n\n", - "readonly": true - }, - "position": { - "x": 1352, - "y": 472 - }, - "size": { - "width": 696, - "height": 48 - } - }, - { - "id": "42ddc817-5c60-4a4d-9fb2-2065517213bd", + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", "type": "basic.info", "data": { - "info": "Modo normal", + "info": "Channel A", "readonly": true }, "position": { - "x": 1368, - "y": 744 + "x": 304, + "y": 128 }, "size": { - "width": 112, + "width": 144, "height": 32 } }, { - "id": "9a8dd966-bc11-4957-b881-9fc4d9c2c7f0", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", "type": "basic.info", "data": { - "info": "Modo inicialización", + "info": "Channel B", "readonly": true }, "position": { - "x": 1352, - "y": 568 + "x": 304, + "y": 480 }, "size": { - "width": 184, + "width": 144, "height": 32 } }, { - "id": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 2056, - "y": 592 + "x": 856, + "y": 216 }, "size": { "width": 96, @@ -5744,1199 +5699,1395 @@ } }, { - "id": "e156b7c4-d5a8-4d04-9262-43b6a87dd030", - "type": "basic.info", - "data": { - "info": "Modo normal", - "readonly": true - }, + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1880, - "y": 712 + "x": 1040, + "y": 384 }, "size": { - "width": 112, - "height": 32 + "width": 96, + "height": 128 } }, { - "id": "87bf3e8e-d1d8-4e5e-900e-8d6ce8cc1bca", - "type": "basic.info", - "data": { - "info": "Modo inicialización", - "readonly": true - }, + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1864, - "y": 552 + "x": 856, + "y": -16 }, "size": { - "width": 184, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "5a037e31-7da9-4835-aa9b-2f39fa0709be", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1872, - "y": 576 + "x": 288, + "y": 512 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "type": "d1a52ec25aee5e4823102a32325600666fe99e12", + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1544, - "y": 904 + "x": 280, + "y": 208 }, "size": { "width": 96, - "height": 96 + "height": 128 } - }, + } + ], + "wires": [ { - "id": "5bd80e70-677e-4d21-9d22-e856719a4a60", - "type": "basic.info", - "data": { - "info": "Modo inicialización", - "readonly": true - }, - "position": { - "x": 1376, - "y": 856 + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "size": { - "width": 184, - "height": 32 + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" } }, { - "id": "d7a92edf-cd96-48a5-ab53-9a24f26ceff3", - "type": "basic.info", - "data": { - "info": "Modo normal", - "readonly": true - }, - "position": { - "x": 1376, - "y": 1016 + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, - "size": { - "width": 112, - "height": 32 + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "ba182779-c056-478b-8e36-1da81329baba", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 2056, - "y": 928 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "d014a960-bc04-4ba6-bdd6-a982df503a2a", - "type": "basic.info", - "data": { - "info": "Modo inicialización", - "readonly": true - }, - "position": { - "x": 1904, - "y": 880 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 2080, - "y": -280 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", - "position": { - "x": 2384, - "y": -16 + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, - { - "id": "cc44926c-bb26-4170-a496-60729e164f47", - "type": "619049c51d21132600a99f750206d8efd256613a", - "position": { - "x": 1632, - "y": -16 - }, - "size": { - "width": 96, - "height": 192 - } - } - ], - "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", "port": "inlabel" } }, { "source": { - "block": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", - "port": "out", - "size": 8 + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", "port": "inlabel" - }, - "size": 8 + } }, { "source": { - "block": "3f2aa475-ede5-41f3-b8e3-b705332e72de", - "port": "out" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", "port": "inlabel" } }, { "source": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "port": "outlabel" }, "target": { - "block": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", - "port": "inlabel" - }, - "vertices": [] + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "c45dab76-9d0f-4742-9e4d-3048637d245d" + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" }, "target": { - "block": "0ab5add2-3485-4419-95f2-c6a722533087", - "port": "inlabel" - }, - "vertices": [] + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", "port": "outlabel" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "5ba7b723-cc87-4e69-8494-be27491be24b", + "block": "ea1e0257-805a-4562-9264-66dd07a08022", "port": "outlabel" }, "target": { - "block": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "750c0892-e316-4030-ab97-be28a90e1b76", - "size": 8 + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "port": "outlabel" }, "target": { - "block": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", - "port": "inlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, - "vertices": [], - "size": 8 + "vertices": [] }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d" + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "port": "outlabel" }, "target": { - "block": "6d089d72-773a-4edd-82a0-5dfacadb1184", - "port": "inlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d" + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "port": "outlabel" }, "target": { - "block": "5661df88-f6d1-49d6-bf74-258557be8bcb", - "port": "inlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "945a1ba2-9167-460e-9d8c-8a4b172524e8", + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "a290409c-8fc0-4f31-974a-4886b48031e3", + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", "port": "outlabel" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "3df4344a-4d89-479a-a80e-6ba195a6ff79" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, "vertices": [] }, { "source": { - "block": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", "port": "outlabel" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", "port": "outlabel" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "bdbaf9de-f627-41d1-a915-6b9c420deb8d" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "d9bdb9fe-338e-4066-befe-5beb48905dc8", - "port": "outlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" }, - "vertices": [] + "vertices": [ + { + "x": 984, + "y": 472 + } + ] }, { "source": { - "block": "9233847f-201d-41d2-a916-7c1eea4d7239", - "port": "outlabel" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "03e28265-5f95-42d6-8c5f-78975349b9c4" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } }, { "source": { - "block": "5a999f26-6728-4451-b529-6fd101c0da93", - "port": "outlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "vertices": [] + "vertices": [ + { + "x": 976, + "y": 352 + } + ] }, { "source": { - "block": "11645bae-f6bd-4389-9b75-46b74b11891e", - "port": "outlabel" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "00289418-0491-4aa5-9cfd-6213c65a42db", - "size": 8 + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" }, - "vertices": [], - "size": 8 + "size": 4 }, { "source": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", - "port": "inlabel" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } }, { "source": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" }, "target": { - "block": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", - "port": "inlabel" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "4077db41-3118-4b2b-8e7c-e02f03403951", - "port": "outlabel" + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" }, "target": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 + } + ] + } + } + }, + "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 456, + "y": 360 + } }, { - "source": { - "block": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", - "port": "outlabel" + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1096, + "y": 392 } }, { - "source": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "1", + "clock": false }, - "target": { - "block": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", - "port": "inlabel" + "position": { + "x": 136, + "y": 416 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, - "vertices": [] + "position": { + "x": 272, + "y": 416 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 136, + "y": 528 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": 552 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 376, + "y": 656 + } + }, + { + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } }, + { + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", "port": "outlabel" }, "target": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "dca00596-5e72-496b-95a7-5b544abc6781", + "block": "8d94a294-a698-43c5-9777-874fd39b8586", "port": "outlabel" }, "target": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "112ed8d8-1940-442e-9692-122482e8601a", + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, - "vertices": [] + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } }, { "source": { - "block": "25305d01-7980-4a92-8a5d-3d370f92edf0", - "port": "outlabel" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" }, "vertices": [] }, { "source": { - "block": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", - "port": "outlabel" + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, "target": { - "block": "aacafad5-ebae-4fbb-b987-46e527ef6736", - "port": "inlabel" + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, "vertices": [] }, { "source": { - "block": "12a003b2-96ca-479e-a925-273a66c415c9", - "port": "outlabel" + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "6e03417e-d26a-47ca-bbf7-abed12cf829f", - "port": "outlabel" - }, - "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [ - { - "x": 2000, - "y": 648 - } - ] - }, - { - "source": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", - "size": 8 - }, - "target": { - "block": "5e154911-3b02-4c25-b8b4-67a2cec51df8", - "port": "inlabel" - }, - "vertices": [], - "size": 8 - }, - { - "source": { - "block": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", - "port": "outlabel" + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, "vertices": [] }, { "source": { - "block": "f3d19b64-b930-407e-8c30-f288d5554f77", - "port": "outlabel" + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "520455a3-592c-4fd0-ade9-62d366c88919", - "size": 8 + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "vertices": [], - "size": 8 - }, + "vertices": [] + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "2d234231-a3ce-4933-a5fe-407c45ca0d49", - "port": "outlabel" - }, - "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "e045f285-627f-42f7-b073-faa36ef6d420", - "size": 8 + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false }, - "vertices": [ - { - "x": 1496, - "y": 960 - } - ], - "size": 8 + "position": { + "x": 80, + "y": 64 + } }, { - "source": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "28815f36-60f4-4bcb-9b79-adf4436596f4", - "port": "inlabel" + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false }, - "vertices": [] + "position": { + "x": 80, + "y": 136 + } }, { - "source": { - "block": "4cb21564-35b2-42d6-9c4c-8976682fd720", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 }, - "vertices": [] + "position": { + "x": 712, + "y": 200 + } }, { - "source": { - "block": "d72de4a7-d7bd-4953-9ab1-501a0d876133", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false }, - "vertices": [] + "position": { + "x": 80, + "y": 208 + } }, { - "source": { - "block": "8dfb44d1-7475-43bc-9627-5355d505e3a3", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false }, - "vertices": [] + "position": { + "x": 80, + "y": 272 + } }, { - "source": { - "block": "e51f952d-706e-4c3c-87ca-bba691fed3d4", - "port": "outlabel" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } }, - "target": { - "block": "22fdad75-628e-49fb-925b-27a74e8a8e4a", - "port": "in", - "size": 4 + "position": { + "x": 296, + "y": 176 }, - "size": 4 - }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", - "port": "outlabel" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "e58a680a-b7d8-44b9-9942-203656bb79dd", - "port": "outlabel" + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" }, "target": { - "block": "076fd796-3b96-4de8-9198-e6e8888b5ba8", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" } }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4" + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" }, "target": { - "block": "67387837-1d48-4ba2-976e-f18a9e0f8376", - "port": "inlabel" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] }, { "source": { - "block": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", - "port": "outlabel" + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" }, "target": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] }, { "source": { - "block": "3e5cba81-f603-48e0-b036-13f4e319197d", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 } }, { - "source": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" }, - "target": { - "block": "a6b77c0f-04de-46b4-adee-acf026acab7d", - "port": "inlabel" + "position": { + "x": 600, + "y": 144 } }, { - "source": { - "block": "bb49a449-4992-4667-8697-84304a1987af", - "port": "outlabel" + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 128, + "y": 184 } }, { - "source": { - "block": "a3b58fde-785d-4a3a-8581-ba957700cfbc", - "port": "outlabel" - }, - "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" }, - "vertices": [] + "position": { + "x": 592, + "y": 240 + } }, { - "source": { - "block": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", - "port": "outlabel" - }, - "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" }, - "vertices": [ - { - "x": 2344, - "y": 48 - } - ] + "position": { + "x": 568, + "y": 296 + } }, { - "source": { - "block": "a10e58ca-ea03-432a-996f-cd686fe48f5e", - "port": "outlabel" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, - "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "position": { + "x": 296, + "y": 176 }, - "vertices": [ - { - "x": 2368, - "y": 112 - } - ] - }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "size": 4 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "418f1032-586b-4290-a8d5-8d01d3dbab84", - "port": "inlabel" - }, - "size": 4 + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "e34cde32-285a-4a10-9564-3f183f9374d1", - "port": "inlabel" + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" } }, { "source": { - "block": "f027a3dd-1b00-421b-8ccc-43dc54000b64", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "7ca578e5-8e36-4c04-bbea-144418c8e9af" - }, - "vertices": [] + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } }, { "source": { - "block": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "61d11f03-6fbc-4467-a947-d546fa6f6429" - }, - "vertices": [] + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } }, { "source": { - "block": "169cf0af-be61-4260-8041-84c135512759", - "port": "constant-out" + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "aaf64b07-17a2-4a88-aa1f-de50490576e4" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] - }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "86b6c395-bfa3-4587-8882-6cab271726e3", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "b2eebea6-ddf2-4343-9c67-540357b39f3c" + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, - "vertices": [ - { - "x": 992, - "y": -16 - } - ] + "position": { + "x": 128, + "y": 168 + } }, { - "source": { - "block": "a21db338-df4f-48a4-b152-c82fec1f9177", - "port": "memory-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "45ea1069-91bb-442b-bc83-934f8cfdcadc" + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 }, - "vertices": [] + "position": { + "x": 640, + "y": 200 + } }, { - "source": { - "block": "fedc3ff5-0acd-464d-971d-c7cbf286889d", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "9582be63-5787-4ef5-8ab5-d4ca4c6ee968" - }, - "vertices": [ - { - "x": 888, - "y": -64 - } - ] - }, - { - "source": { - "block": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "bae76498-ebc5-4f79-9de7-ae7241b6dabc" + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, - "vertices": [ - { - "x": 880, - "y": 40 - } - ] + "position": { + "x": 128, + "y": 224 + } }, { - "source": { - "block": "0d2c265d-25ed-4578-96fc-535e2bfb549e", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "cd059108-a479-4b5b-a628-3340cc270461" - }, - "vertices": [ - { - "x": 792, - "y": 56 + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] } - ] - }, - { - "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "fe696950-4552-47bb-8027-c7a9928bde98" - }, - "target": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "vertices": [] - }, - { - "source": { - "block": "a84954f7-810e-4b33-b933-994656925230", - "port": "constant-out" + "position": { + "x": 296, + "y": 176 }, - "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "3ef4478a-c7bc-42d3-8288-3c787548347e" + "size": { + "width": 272, + "height": 104 } - }, + } + ], + "wires": [ { "source": { - "block": "b80beb59-7db6-46b7-bbf6-02256373d959", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" }, - "vertices": [ - { - "x": 1488, - "y": 664 - } - ] + "size": 8 }, { "source": { - "block": "5a037e31-7da9-4835-aa9b-2f39fa0709be", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" }, "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" }, "target": { - "block": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [], - "size": 3 + "size": 4 } ] } } }, - "f91a2729e24bdc5bb7370785202c65c6526d7a01": { + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { "package": { - "name": "timer-msec", + "name": "Bus8-Split-half", "version": "0.1", - "description": "Temporizador en milisegundos. La señal p está activa durante el tiempo indicado. Por tic se emite un tic al finalizar", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20293.13756%20181.35395%22%20width=%22293.137%22%20height=%22181.354%22%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%2270.101%22%20fill=%22#fff%22/%3E%3Cpath%20d=%22M149.086%2013.679c-42.531%200-77.003%2034.472-77.003%2077.002%200%2042.531%2034.472%2077.003%2077.003%2077.003%2042.53%200%2077.003-34.472%2077.003-77.003%200-42.53-34.472-77.002-77.003-77.002zm0%20145.175c-37.673%200-68.173-30.539-68.173-68.173%200-37.633%2030.539-68.172%2068.173-68.172%2037.633%200%2068.172%2030.539%2068.172%2068.172%200%2037.634-30.538%2068.173-68.172%2068.173z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M146.772%2030.683h4.627v18.2h-4.627zM89.125%2092.965v-4.627h18.2v4.627zm62.261%2057.665h-4.627v-18.2h4.627zm57.647-62.28v4.628h-18.2V88.35zM105.044%2049.905l3.272-3.272%2012.87%2012.87-3.273%203.271zm3.28%2084.79l-3.27-3.272%2012.868-12.87%203.272%203.272zm84.774-3.265l-3.272%203.273-12.87-12.87%203.273-3.272zM189.85%2046.64l3.272%203.272-12.87%2012.87-3.271-3.272z%22%20fill=%22#333%22/%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%224.781%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M149.795%2070.653l2.722.288-2.109%2019.9-2.722-.288z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M181.26%2072.13l1.276%202.422-32.859%2017.296-1.275-2.422z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M152.016%2080.386c-1.08%200-1.928.887-1.928%201.928%200%20.656.309%201.234.81%201.581l-14.691%2041.451%201.002.347%2014.691-41.45h.116c1.08%200%201.928-.888%201.928-1.929a1.934%201.934%200%200%200-1.928-1.928z%22%20fill=%22#cf000f%22/%3E%3Ctext%20y=%2244.949%22%20x=%221.863%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2269.516%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.289%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2244.949%22%20x=%221.863%22%20font-weight=%22700%22%20font-size=%2239.724%22%3Ems%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-53.023%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-257.041%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ccircle%20cx=%22248.578%22%20cy=%2244.56%22%20r=%2243.648%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%221.824%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M279.216%2057.21h-5.01V30.018h-51.31v26.98h-5.456%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%224.56%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", "type": "basic.output", "data": { - "name": "p" - }, - "position": { - "x": 1224, - "y": 96 - } - }, - { - "id": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "name": "1", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 472, - "y": 96 + "x": 584, + "y": 104 } }, { - "id": "61d11f03-6fbc-4467-a947-d546fa6f6429", + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", "type": "basic.input", "data": { "name": "", - "clock": false + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 456, - "y": 304 + "x": 120, + "y": 208 } }, { - "id": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", "type": "basic.output", "data": { - "name": "tic" - }, - "position": { - "x": 1216, - "y": 304 - } - }, - { - "id": "7ca578e5-8e36-4c04-bbea-144418c8e9af", - "type": "basic.constant", - "data": { - "name": "", - "value": "100", - "local": false - }, - "position": { - "x": 848, - "y": -96 - } - }, - { - "id": "e6b9690b-1da7-4600-9015-0bbed31633e2", - "type": "basic.info", - "data": { - "info": "**Arranque del** \n**temporizador**", - "readonly": true - }, - "position": { - "x": 448, - "y": 264 - }, - "size": { - "width": 144, - "height": 56 - } - }, - { - "id": "f3af4211-e3df-4710-a0a8-96a035a833a9", - "type": "basic.info", - "data": { - "info": "**Salida de pulso**", - "readonly": true - }, - "position": { - "x": 1224, - "y": 72 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "a15228f1-41aa-494c-82fb-5491bf5fcc13", - "type": "basic.info", - "data": { - "info": "**Salida de tic**", - "readonly": true - }, - "position": { - "x": 1216, - "y": 280 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "204eb46d-dd4f-47cf-b0f3-c9095263d325", - "type": "basic.info", - "data": { - "info": "**Parametro del timer** \nmilisegundos a esperar", - "readonly": true + "name": "0", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 832, - "y": -128 - }, - "size": { - "width": 208, - "height": 56 + "x": 584, + "y": 232 } }, { - "id": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//localparam MS;\n\n//-- Constante para dividir y obtener una señal de \n//-- periodo 1ms\nlocalparam M = 12000;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Cable de reset para el corazon\nwire rst_heart;\n\n//-- Overflow del temporizador del corazon\nwire ov_heart;\n\n//-- Habilitacion del corazon\nwire ena;\n\n//-- Tics del corazon\nwire tic_heart;\n\n//-- Contador del corazon\nreg [N-1:0] heart=0;\n\nalways @(posedge clk)\n if (rst_heart)\n heart <= 0;\n else\n heart <= heart + 1;\n\n//-- Overflow del contador\nassign ov_heart = (heart == M-1);\n\n//-- La salida del corazon es la señal de overflow\nassign tic_heart = ov_heart;\n\n//-- Reset del corazon\nassign rst_heart =~ena | ov_heart;\n\n\n\n//--------------------------------------------\n//-- Contador de tics\n//--------------------------------------------\n\n//-- Calcular el numero de bits para almacenar MS tics\nlocalparam CB = $clog2(MS);\n\nreg [CB-1:0] counter = 0;\n\n//-- Overflow del contador\nwire ov;\n\n//-- Señal de reset del contador\nwire rst;\n\nalways @(posedge clk)\nif (rst)\n counter <= 0;\nelse\n if (tic_heart)\n counter <= counter + 1;\n\n//-- Evento: cuenta máxima de tics alcanzada\nassign ov = (counter == MS);\n\n//---------------------------------------\n//-- Biestable de estado del timer\n//-- 0: Apagado \n//-- 1: Funcionando\nreg q = 0;\n\nalways @(posedge clk)\n if (start)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;\n \n//-- Lógica de reset\n//En función de la entrada, el estado y \n// el overflow se inicializa el contador y \n// se habilita el corazón de tics\nassign rst = ~q | ov | start;\nassign ena = ~rst;\n\n//-- Salida de pulso\nassign p = q;\n\n//-- Salida de tic\n//-- Saca un tic cuando ha finalizado la cuenta\nassign tic = ov;\n", - "params": [ - { - "name": "MS" - } - ], + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "start" + "name": "i", + "range": "[7:0]", + "size": 8 } ], "out": [ { - "name": "p" + "name": "o1", + "range": "[3:0]", + "size": 4 }, { - "name": "tic" + "name": "o0", + "range": "[3:0]", + "size": 4 } ] } }, "position": { - "x": 632, - "y": 24 + "x": 272, + "y": 176 }, "size": { - "width": 520, - "height": 416 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "61d11f03-6fbc-4467-a947-d546fa6f6429", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "start" - } + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 }, { "source": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "p" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "2b9b8c7b12f595d67c236787e6f8d9426571540d": { + "package": { + "name": "Bus3-Join-all", + "version": "0.1", + "description": "Bus3-Join-all: Joint three wires into a 3-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 120, + "y": 120 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 120, + "y": 200 + } + }, + { + "id": "a7b70668-43df-4c7f-8da5-7076008e97bd", + "type": "basic.output", + "data": { + "name": "", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 656, + "y": 200 } }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 120, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[2:0]", + "size": 3 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ { "source": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "tic" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "port": "out" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "clk" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" } }, { "source": { - "block": "7ca578e5-8e36-4c04-bbea-144418c8e9af", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "MS" + "block": "a7b70668-43df-4c7f-8da5-7076008e97bd", + "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" } } ] } } }, - "2f169f3a1deff908fb7c4915947bdd3a944d794b": { + "12181aafa567423f27b91da184acb1c9a94045c5": { "package": { "name": "start", - "version": "0.2", - "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", "otid": 1616923422866 @@ -7082,8 +7233,8 @@ } }, { - "id": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "type": "85dc1f75bb2706cba6e6c504fec08ea7c5195798", + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { "x": 488, "y": 200 @@ -7112,7 +7263,7 @@ "port": "outlabel" }, "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", "port": "3943e194-090b-4553-9df3-88bc4b17abc2" }, "vertices": [ @@ -7128,7 +7279,7 @@ "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, @@ -7138,13 +7289,13 @@ "port": "constant-out" }, "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" } }, { "source": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { @@ -7156,73 +7307,11 @@ } } }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { - "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "85dc1f75bb2706cba6e6c504fec08ea7c5195798": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "sys-DFF", - "version": "2.2", - "description": "System - D Flip-flop. Capture data every system clock cycle", + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, @@ -7249,7 +7338,7 @@ }, "position": { "x": 208, - "y": 160 + "y": 184 } }, { @@ -7260,7 +7349,7 @@ }, "position": { "x": 816, - "y": 224 + "y": 232 } }, { @@ -7272,7 +7361,7 @@ }, "position": { "x": 208, - "y": 304 + "y": 280 } }, { @@ -7292,7 +7381,7 @@ "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", "params": [ { "name": "INI" @@ -7319,8 +7408,8 @@ "y": 168 }, "size": { - "width": 344, - "height": 176 + "width": 352, + "height": 192 } }, { @@ -7348,7 +7437,7 @@ }, "position": { "x": 208, - "y": 136 + "y": 160 }, "size": { "width": 120, @@ -7364,7 +7453,7 @@ }, "position": { "x": 224, - "y": 280 + "y": 256 }, "size": { "width": 112, @@ -7465,11 +7554,11 @@ } } }, - "f9ba6f319f17e07dc1b30ead541d9becdf39211e": { + "bc3dff0a8356bc427c7a1e4c5ad3a3846e4c8299": { "package": { "name": "LCD-config", - "version": "0.2", - "description": "Enviar los comandos de inicialización y configuración al controlador del LCD (de nivel 1)", + "version": "0.3", + "description": "LCD-config: Enviar los comandos de inicialización y configuración al controlador del LCD (de nivel 1)", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22438.076%22%20height=%22589.782%22%20viewBox=%220%200%20115.90764%20156.04659%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.01%20147.136a3.474%203.474%200%200%201%200%204.912l-2.806%202.808a3.476%203.476%200%200%201-4.914%200l-19.827-19.829%207.72-7.72z%22%20fill=%22#08a%22/%3E%3Cpath%20fill=%22#999%22%20d=%22M57.214%20109.02l6.388%206.388%2011.615%2011.614-4.04%204.038-11.613-11.612-6.388-6.388-24.503-24.501-.136.135-4.752-.439-7.256-11.569%204.314-4.311%2011.569%207.255.439%204.75-.138.138z%22/%3E%3Cpath%20d=%22M80.798%20123.804l-1.396%203.721-7.72%207.72-3.722%201.396a.812.812%200%200%201-.86-.186l-1.903-1.902a.812.812%200%200%201%200-1.148l4.16-4.163%204.04-4.038%204.162-4.163a.811.811%200%200%201%201.148%200l1.904%201.904a.81.81%200%200%201%20.187.859z%22%20fill=%22#006680%22/%3E%3Cpath%20d=%22M91.963%2075.24c4.551%204.272%204.639%2011.43.257%2015.81a10.952%2010.952%200%200%201-4.396%202.69c-2.44.786-4.67%202.116-6.483%203.93l-19.7%2019.7-6.389-6.39%2013.662-13.66c3.372-3.373%205.117-8.086%204.589-12.826a10.983%2010.983%200%200%201%203.684-9.505%2010.888%2010.888%200%200%201%205.463-2.57l-2.054%206.583a4.87%204.87%200%200%200-.222%201.452%204.867%204.867%200%200%200%209.511%201.446z%22%20fill=%22#999%22/%3E%3Cpath%20d=%22M62.694%20116.948l-14.419%2014.416c-3.372%203.373-5.12%208.086-4.588%2012.825a10.993%2010.993%200%200%201-3.685%209.507%2010.922%2010.922%200%200%201-5.462%202.569l2.053-6.58a4.866%204.866%200%200%200-3.195-6.094v-.002a4.865%204.865%200%200%200-6.094%203.197l-2.079%206.657c-4.552-4.272-4.64-11.427-.258-15.808a10.946%2010.946%200%200%201%204.397-2.69c2.442-.787%204.672-2.117%206.484-3.93l20.458-20.455z%22%20fill=%22#999%22/%3E%3C/svg%3E", "otid": 1666014804996 @@ -7508,49 +7597,7 @@ "name": "Disp_ctrl", "range": "[7:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 1288, @@ -7562,15 +7609,7 @@ "type": "basic.inputLabel", "data": { "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "navy" }, "position": { "x": 832, @@ -7581,15 +7620,7 @@ "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "busy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy" }, "position": { "x": 1992, @@ -7601,15 +7632,7 @@ "type": "basic.outputLabel", "data": { "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "navy" }, "position": { "x": 1856, @@ -7636,24 +7659,7 @@ "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { "x": 864, @@ -7667,24 +7673,7 @@ "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { "x": 1008, @@ -7698,49 +7687,7 @@ "name": "din", "range": "[7:0]", "blockColor": "royalblue", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 1592, @@ -7752,15 +7699,7 @@ "type": "basic.outputLabel", "data": { "name": "cmd", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia" }, "position": { "x": 1856, @@ -7771,15 +7710,7 @@ "id": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959", "type": "basic.output", "data": { - "name": "cmd", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "cmd" }, "position": { "x": 1984, @@ -7791,14 +7722,6 @@ "type": "basic.input", "data": { "name": "start", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], "clock": false }, "position": { @@ -7811,15 +7734,7 @@ "type": "basic.inputLabel", "data": { "name": "start", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia" }, "position": { "x": 368, @@ -7831,15 +7746,7 @@ "type": "basic.outputLabel", "data": { "name": "start", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia" }, "position": { "x": 536, @@ -7851,15 +7758,7 @@ "type": "basic.inputLabel", "data": { "name": "write", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "red" }, "position": { "x": 864, @@ -7871,50 +7770,8 @@ "type": "basic.output", "data": { "name": "data", - "virtual": true, "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 1992, @@ -7928,49 +7785,7 @@ "name": "din", "range": "[7:0]", "blockColor": "royalblue", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 1856, @@ -7982,15 +7797,7 @@ "type": "basic.outputLabel", "data": { "name": "next", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "darkgreen" }, "position": { "x": 536, @@ -8002,14 +7809,6 @@ "type": "basic.input", "data": { "name": "next", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], "clock": false }, "position": { @@ -8022,15 +7821,7 @@ "type": "basic.inputLabel", "data": { "name": "next", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "darkgreen" }, "position": { "x": 368, @@ -8044,24 +7835,7 @@ "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { "x": 1136, @@ -8073,15 +7847,7 @@ "type": "basic.inputLabel", "data": { "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "springgreen" }, "position": { "x": 832, @@ -8092,15 +7858,7 @@ "id": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d", "type": "basic.output", "data": { - "name": "write", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "write" }, "position": { "x": 1992, @@ -8112,15 +7870,7 @@ "type": "basic.outputLabel", "data": { "name": "write", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "red" }, "position": { "x": 1856, @@ -8131,15 +7881,7 @@ "id": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d", "type": "basic.output", "data": { - "name": "done", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "done" }, "position": { "x": 1992, @@ -8151,15 +7893,7 @@ "type": "basic.outputLabel", "data": { "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "springgreen" }, "position": { "x": 1856, @@ -8171,15 +7905,7 @@ "type": "basic.inputLabel", "data": { "name": "cmd", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia" }, "position": { "x": 1704, @@ -8193,24 +7919,7 @@ "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { "x": 1424, @@ -8224,49 +7933,7 @@ "name": "Disp_ctrl", "range": "[7:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 888, @@ -8369,18 +8036,6 @@ "y": 368 } }, - { - "id": "09a5b2c3-469d-41fa-a700-1674d78d9330", - "type": "0b13b4b2226817e4dd879ef834dc2ba07ae3687e", - "position": { - "x": 680, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, { "id": "149c3e5a-cd28-4f42-bc33-c0574883d800", "type": "basic.info", @@ -8648,6 +8303,18 @@ "width": 176, "height": 48 } + }, + { + "id": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", + "type": "d422557fd95e765b3e0216b4d29d5c32a2e2b5a4", + "position": { + "x": 680, + "y": 104 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ @@ -8684,7 +8351,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "73948305-b05b-418f-88af-11328f400cdc" }, "target": { @@ -8695,7 +8362,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "49221b19-132a-4e3f-9a1f-97bcd463391b" }, "target": { @@ -8706,7 +8373,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "6a11eaf9-4bd5-405e-81f8-44418365326b" }, "target": { @@ -8717,7 +8384,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "2885a59c-c338-4e79-83bc-771f79ec7d2e", "size": 3 }, @@ -8734,7 +8401,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" }, "vertices": [] @@ -8745,7 +8412,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" }, "vertices": [] @@ -8756,7 +8423,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "cb426e3d-ace3-4a57-a74b-155caed0c14c" } }, @@ -8907,7 +8574,7 @@ "port": "constant-out" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" }, "vertices": [] @@ -9051,514 +8718,610 @@ } } }, - "0b13b4b2226817e4dd879ef834dc2ba07ae3687e": { + "259ba4ac4c27d6d3ab8316419a0de7bf952a242e": { "package": { - "name": "count-04-2bits", + "name": "mi-tabla3-8", "version": "0.1", - "description": "Máquina de contar, de 2 bits (cuenta hasta 4 ciclos)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Circuito combinacional de 3 entradas y 8 salidas", + "author": "IceFactory 0.1", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "id": "186c4116-7846-4c8e-98a0-7376675105f1", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 592, - "y": -416 + "x": -8, + "y": 424 } }, { - "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "type": "basic.inputLabel", + "id": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 736, - "y": -416 + "x": 632, + "y": 424 } }, { - "id": "81fb4efb-363e-483e-b1df-c2ebda777355", - "type": "basic.outputLabel", + "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "type": "basic.memory", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "list": "0\n0\n0\n0", + "local": false }, "position": { - "x": 1416, - "y": -352 - } - }, - { - "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "type": "basic.inputLabel", - "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "x": 328, + "y": 96 }, - "position": { - "x": 952, - "y": -304 + "size": { + "width": 96, + "height": 104 } }, { - "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "type": "basic.outputLabel", + "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "rst" + "code": "\n//-- Bits del bus de entrada\nlocalparam N = 3;\n\n//-- Bits del bus de salida\nlocalparam M = 8;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend", + "params": [ + { + "name": "DATA" + } + ], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 1416, - "y": -288 - } - }, - { - "id": "40607400-436e-4dea-b733-8308a06fd4ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "x": 176, + "y": 264 }, - "position": { - "x": 584, - "y": -224 + "size": { + "width": 392, + "height": 384 } - }, + } + ], + "wires": [ { - "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", - "type": "basic.output", - "data": { - "name": "Busy" + "source": { + "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "port": "memory-out" }, - "position": { - "x": 2312, - "y": -216 + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "DATA" } }, { - "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "type": "basic.outputLabel", - "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "source": { + "block": "186c4116-7846-4c8e-98a0-7376675105f1", + "port": "out" }, - "position": { - "x": 2152, - "y": -216 - } - }, - { - "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "rst" + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "i" }, - "position": { - "x": 952, - "y": -192 - } + "size": 3 }, { - "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "type": "basic.input", - "data": { - "name": "start", - "clock": false + "source": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "q" }, - "position": { - "x": 584, - "y": -136 - } - }, + "target": { + "block": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "d8cb5af928591ff6b1ce2e5670dc1efbea1f9d9d": { + "package": { + "name": "Comp1-x03", + "version": "0.1", + "description": "Comp1-x03: Comparator of 3-bits operand and parameter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618596618675 + }, + "design": { + "graph": { + "blocks": [ { - "id": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "size": 3 + "name": "" }, "position": { - "x": 1824, - "y": -120 + "x": 904, + "y": 344 } }, { - "id": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", - "type": "basic.outputLabel", + "id": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", + "type": "basic.input", "data": { - "name": "cnt", + "name": "", "range": "[2:0]", - "blockColor": "navy", - "oldBlockColor": "royalblue", + "clock": false, "size": 3 }, "position": { - "x": 1200, - "y": -104 + "x": 520, + "y": 408 } }, { - "id": "9baa509b-0012-4e0e-b874-e62987258aa1", - "type": "basic.inputLabel", + "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "start" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 944, - "y": -72 + "x": 520, + "y": 224 } }, { - "id": "afac67ea-64eb-4387-856b-46ad5d276971", - "type": "basic.outputLabel", - "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "size": 3 - }, + "id": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", "position": { - "x": 2160, - "y": -72 + "x": 520, + "y": 328 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "2885a59c-c338-4e79-83bc-771f79ec7d2e", - "type": "basic.output", - "data": { - "name": "n", - "range": "[2:0]", - "size": 3 - }, + "id": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", "position": { - "x": 2312, - "y": -72 + "x": 720, + "y": 344 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "45bd338b-4745-4b06-b199-462cdaffa31d", - "type": "basic.outputLabel", - "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "source": { + "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "port": "constant-out" }, - "position": { - "x": 584, - "y": -24 - } + "target": { + "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] }, { - "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "source": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1472, - "y": 16 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "5a3f8466-6a57-4607-939a-fb15222442a7", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done" + "source": { + "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "port": "50004d4c-3153-4049-88f7-bad5e748383b" }, - "position": { - "x": 920, - "y": 24 - } + "target": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5" + }, + "size": 3 }, { - "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" + "source": { + "block": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", + "port": "out" }, - "position": { - "x": 2160, - "y": 104 - } - }, + "target": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" + }, + "size": 3 + } + ] + } + } + }, + "7b367d65207b1a2d3bb13af0fccc5d425d108259": { + "package": { + "name": "3-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 3-bits generic constant (0-7)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "73948305-b05b-418f-88af-11328f400cdc", + "id": "50004d4c-3153-4049-88f7-bad5e748383b", "type": "basic.output", "data": { - "name": "exec" + "name": "", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 2328, - "y": 104 + "x": 952, + "y": 248 } }, { - "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "type": "basic.outputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1544, - "y": 136 + "x": 728, + "y": 128 } }, { - "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[2:0]", + "size": 3 + } + ] + } }, "position": { - "x": 648, - "y": 152 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 1080, - "y": 168 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", - "type": "basic.input", - "data": { - "name": "next", - "clock": false + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, - "position": { - "x": 616, - "y": 208 - } - }, + "target": { + "block": "50004d4c-3153-4049-88f7-bad5e748383b", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "18457bd686e8b20fc5d40286f87f8393f6e52a51": { + "package": { + "name": "comp2-3bits", + "version": "0.1", + "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ { - "id": "d8820925-23d9-4f02-8491-adf813601d08", + "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "blockColor": "fuchsia", + "name": "a2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1384, - "y": 232 + "x": 904, + "y": 0 } }, { - "id": "a756b829-42d1-4779-b42d-a9acc3800854", - "type": "basic.outputLabel", + "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "done", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 2160, - "y": 248 + "x": 672, + "y": 64 } }, { - "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "type": "basic.output", + "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "type": "basic.outputLabel", "data": { - "name": "done" + "blockColor": "deeppink", + "name": "b2", + "oldBlockColor": "deeppink" }, "position": { - "x": 2320, - "y": 248 + "x": 904, + "y": 72 } }, { - "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1952, - "y": 288 + "x": 672, + "y": 120 } }, { - "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", - "type": "basic.outputLabel", + "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "type": "basic.input", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 1384, - "y": 304 + "x": 360, + "y": 120 } }, { - "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "start" + "name": "a1" }, "position": { - "x": 1680, - "y": 376 + "x": 904, + "y": 176 } }, { - "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", "type": "basic.inputLabel", "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1144, - "y": 376 + "x": 672, + "y": 176 } }, { - "id": "5324c14f-171f-4f3c-9455-4c3252223087", - "type": "basic.outputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "oldBlockColor": "royalblue", - "size": 3 + "name": "" }, "position": { - "x": 688, - "y": 552 + "x": 1416, + "y": 232 } }, { - "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "type": "basic.constant", + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "type": "basic.outputLabel", "data": { - "name": "N", - "value": "8", - "local": false + "blockColor": "deeppink", + "name": "b1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 352 + "x": 904, + "y": 248 } }, { - "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "type": "basic.constant", + "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "1", - "local": true + "blockColor": "deeppink", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 1352, - "y": -208 + "x": 680, + "y": 272 } }, { - "id": "374613cb-968d-46d1-94a4-16686e7df28d", - "type": "basic.constant", + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": true + "blockColor": "deeppink", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1648, - "y": -256 + "x": 680, + "y": 328 } }, { - "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "type": "438dedd956354c574afcde6f0793a7d369b2a031", - "position": { - "x": 752, - "y": -168 + "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 368, + "y": 328 } }, { - "id": "d76d4948-a798-448c-adfa-c03b511371e5", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 1544, - "y": 288 + "id": "9eab6815-4005-453c-8f24-68951cced197", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 904, + "y": 344 } }, { - "id": "bd61136e-6597-4728-a8c3-141a1841150b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 808, - "y": 168 + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 680, + "y": 384 } }, { - "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 1016, - "y": 376 + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 904, + "y": 416 } }, { - "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 1680, - "y": 272 + "x": 1056, + "y": 400 }, "size": { "width": 96, @@ -9566,11 +9329,11 @@ } }, { - "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 1824, - "y": 288 + "x": 1056, + "y": 232 }, "size": { "width": 96, @@ -9578,419 +9341,328 @@ } }, { - "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", "type": "basic.info", "data": { - "info": "**Reloj del sistema**", + "info": "A", "readonly": true }, "position": { - "x": 680, - "y": -472 + "x": 408, + "y": 104 }, "size": { - "width": 192, - "height": 40 + "width": 80, + "height": 32 } }, { - "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", "type": "basic.info", "data": { - "info": "**Estado de** \n**la máquina**", + "info": "B", "readonly": true }, "position": { - "x": 760, - "y": -248 + "x": 408, + "y": 304 }, "size": { - "width": 160, - "height": 40 + "width": 80, + "height": 32 } }, { - "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", - "type": "basic.info", - "data": { - "info": "Máquina encendida", - "readonly": true - }, + "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 936, - "y": -328 + "x": 528, + "y": 104 }, "size": { - "width": 168, - "height": 40 + "width": 96, + "height": 96 } }, { - "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", - "type": "basic.info", - "data": { - "info": "Máquina apagada \n(rst = 1)", - "readonly": true - }, + "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 952, - "y": -232 + "x": 528, + "y": 312 }, "size": { - "width": 152, - "height": 56 + "width": 96, + "height": 96 } }, { - "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", - "type": "basic.info", - "data": { - "info": "Tic de arranque", - "readonly": true - }, + "id": "398207eb-18f3-43a4-b4e5-481480023848", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 960, - "y": -96 + "x": 1056, + "y": 56 }, "size": { - "width": 160, - "height": 40 + "width": 96, + "height": 64 } }, { - "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", - "type": "basic.info", - "data": { - "info": "Tic de fin", - "readonly": true - }, + "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", "position": { - "x": 944, - "y": 0 + "x": 1272, + "y": 216 }, "size": { - "width": 120, - "height": 32 + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { - "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", - "type": "basic.info", - "data": { - "info": "**Contador de ciclos**", - "readonly": true - }, - "position": { - "x": 1480, - "y": -424 + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 224, - "height": 40 + "target": { + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "port": "inlabel" } }, { - "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", - "type": "basic.info", - "data": { - "info": "Número de ciclos \ncontados", - "readonly": true - }, - "position": { - "x": 1824, - "y": -160 + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 160, - "height": 56 + "target": { + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" } }, { - "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", - "type": "basic.info", - "data": { - "info": "Valor \nincrementado", - "readonly": true - }, - "position": { - "x": 1496, - "y": -120 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 128, - "height": 56 + "target": { + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "port": "inlabel" } }, { - "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", - "type": "basic.info", - "data": { - "info": "### Salidas", - "readonly": true - }, - "position": { - "x": 2152, - "y": -328 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 280, - "height": 40 + "target": { + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" } }, { - "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", - "type": "basic.info", - "data": { - "info": "Estado de la máquina", - "readonly": true - }, - "position": { - "x": 2160, - "y": -248 + "source": { + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "port": "outlabel" }, - "size": { - "width": 192, - "height": 40 + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", - "type": "basic.info", - "data": { - "info": "Ciclo actual", - "readonly": true - }, - "position": { - "x": 2168, - "y": -104 + "source": { + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "port": "outlabel" }, - "size": { - "width": 152, - "height": 40 + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { - "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", - "type": "basic.info", - "data": { - "info": "Cuenta finalizada", - "readonly": true - }, - "position": { - "x": 2160, - "y": 216 + "source": { + "block": "9eab6815-4005-453c-8f24-68951cced197", + "port": "outlabel" }, - "size": { - "width": 176, - "height": 48 + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", - "type": "basic.info", - "data": { - "info": "Ejecutar el ciclo", - "readonly": true - }, - "position": { - "x": 2168, - "y": 80 + "source": { + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "port": "outlabel" }, - "size": { - "width": 168, - "height": 40 + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { - "id": "eae9349c-e15a-4922-8652-1849ae8af424", - "type": "basic.info", - "data": { - "info": "Número de ciclos \na contar", - "readonly": true - }, - "position": { - "x": 696, - "y": 288 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "size": { - "width": 152, - "height": 56 + "target": { + "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "port": "inlabel" } }, { - "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", - "type": "basic.info", - "data": { - "info": "¿Estamos en el \nciclo k-1?", - "readonly": true - }, - "position": { - "x": 1024, - "y": 480 + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "size": { - "width": 144, - "height": 56 + "target": { + "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "port": "inlabel" } }, { - "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", - "type": "basic.info", - "data": { - "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", - "readonly": true + "source": { + "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "port": "outlabel" }, - "position": { - "x": 648, - "y": 88 + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": { - "width": 216, - "height": 80 - } + "vertices": [] }, { - "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", - "type": "basic.info", - "data": { - "info": "Nuevo ciclo", - "readonly": true + "source": { + "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "port": "outlabel" }, - "position": { - "x": 920, - "y": 168 + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" }, - "size": { - "width": 136, - "height": 40 - } + "vertices": [] }, { - "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", - "type": "basic.info", - "data": { - "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", - "readonly": true + "source": { + "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "port": "out" }, - "position": { - "x": 1128, - "y": 304 + "target": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" }, - "size": { - "width": 208, - "height": 72 - } + "size": 3 }, { - "id": "9baabc30-8c27-4b55-92e4-d59783269162", - "type": "basic.info", - "data": { - "info": "Apagar la \nmáquina", - "readonly": true + "source": { + "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "port": "out" }, - "position": { - "x": 600, - "y": -64 + "target": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" }, - "size": { - "width": 120, - "height": 56 - } + "size": 3 }, { - "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", - "type": "basic.info", - "data": { - "info": "Ciclo nuevo: \nincrementar la cuenta", - "readonly": true - }, - "position": { - "x": 1464, - "y": -32 + "source": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": { - "width": 208, - "height": 56 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", - "type": "basic.info", - "data": { - "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", - "readonly": true - }, - "position": { - "x": 1680, - "y": 440 + "source": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 248, - "height": 72 + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", - "type": "basic.info", - "data": { - "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", - "readonly": true - }, - "position": { - "x": 1688, - "y": 168 + "source": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 208, - "height": 80 + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", - "type": "basic.info", + "source": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + } + } + ] + } + } + }, + "23b15b7d7a1bc7327fad365412864b15e4edf835": { + "package": { + "name": "comp2-1bit", + "version": "0.1", + "description": "Comp2-1bit: Comparator of two 1-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", - "readonly": true + "name": "", + "clock": false }, "position": { - "x": 1432, - "y": 360 - }, - "size": { - "width": 256, - "height": 72 + "x": 368, + "y": 280 } }, { - "id": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "type": "3048aac04179d3c8aa21b28da9ad8bff04ce2899", - "position": { - "x": 1648, - "y": -152 + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 832, + "y": 296 } }, { - "id": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "type": "b40da6e557650abc55a25a2c69a6511959dc84e2", - "position": { - "x": 856, - "y": 512 + "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 368, + "y": 344 } }, { - "id": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "type": "e81274ef9d736a1810cc627ec4f61d7016e01548", + "id": "8839b104-b532-421e-a2da-a1272176ff9c", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { - "x": 680, - "y": 448 + "x": 544, + "y": 296 }, "size": { "width": 96, @@ -9998,11 +9670,11 @@ } }, { - "id": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "type": "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f", + "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 1352, - "y": -104 + "x": 680, + "y": 296 }, "size": { "width": 96, @@ -10013,590 +9685,566 @@ "wires": [ { "source": { - "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "port": "outlabel" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "a2b70876-c7c0-4208-a403-eed81e32fe5a" - }, - "vertices": [ - { - "x": 1592, - "y": -192 - } - ] - }, - { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "target": { - "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "port": "inlabel" - } + "vertices": [] }, { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "9baa509b-0012-4e0e-b874-e62987258aa1", - "port": "inlabel" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "0d991cee-b329-439b-b9e7-5712d2db539d" - }, - "target": { - "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "port": "inlabel" - }, - "vertices": [ - { - "x": 888, - "y": -216 - } - ] - }, - { - "source": { - "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", - "port": "outlabel" + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "45bd338b-4745-4b06-b199-462cdaffa31d", - "port": "outlabel" + "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "port": "out" }, "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "ba15eacb-1e03-4580-932b-3231703481e5" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } - }, + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "port": "inlabel" + "position": { + "x": 120, + "y": 48 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "5a3f8466-6a57-4607-939a-fb15222442a7", - "port": "inlabel" + "position": { + "x": 560, + "y": 72 } }, { - "source": { - "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "port": "outlabel" + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 120, + "y": 104 } }, { - "source": { - "block": "a756b829-42d1-4779-b42d-a9acc3800854", - "port": "outlabel" - }, - "target": { - "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "port": "in" - } - }, - { - "source": { - "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "port": "outlabel" + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 } - }, + } + ], + "wires": [ { "source": { - "block": "10afc859-04fd-433d-823a-4fa4721320c1", - "port": "outlabel" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", - "port": "inlabel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "port": "inlabel" + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" } - }, + } + ] + } + } + }, + "9a279550d6cd93b170311188e39bffc553a4f512": { + "package": { + "name": "Bus3-Split-all", + "version": "0.1", + "description": "Bus3-Split-all: Split the 3-bits bus into three wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", - "port": "outlabel" + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "position": { + "x": 552, + "y": 112 } }, { - "source": { - "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "port": "out" + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" }, - "target": { - "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "port": "inlabel" + "position": { + "x": 552, + "y": 184 } }, { - "source": { - "block": "40607400-436e-4dea-b733-8308a06fd4ef", - "port": "outlabel" + "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "046501b8-4427-4d4d-af97-7fe807774f33" + "position": { + "x": 144, + "y": 184 } }, { - "source": { - "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "port": "outlabel" + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" }, - "target": { - "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", - "port": "in" + "position": { + "x": 552, + "y": 248 } }, { - "source": { - "block": "81fb4efb-363e-483e-b1df-c2ebda777355", - "port": "outlabel" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 } - }, + } + ], + "wires": [ { "source": { - "block": "d8820925-23d9-4f02-8491-adf813601d08", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1496, - "y": 280 - } - ] + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } }, { "source": { - "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "73948305-b05b-418f-88af-11328f400cdc", + "block": "0f7487e5-b070-4277-bba6-acf69934afca", "port": "in" } }, { "source": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "26cb5fd1-f03e-440e-add7-90181e93e0aa", - "size": 3 + "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "port": "out" }, "target": { - "block": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", - "port": "inlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, "size": 3 }, { "source": { - "block": "afac67ea-64eb-4387-856b-46ad5d276971", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "2885a59c-c338-4e79-83bc-771f79ec7d2e", - "port": "in", - "size": 3 + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + } + ] + } + } + }, + "ae245c856f7fbc64ed4d999ce91be2c16d5ba038": { + "package": { + "name": "AND3", + "version": "1.0.2", + "description": "Three bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "type": "basic.input", + "data": { + "name": "" }, - "size": 3 + "position": { + "x": 104, + "y": -40 + } }, { - "source": { - "block": "5324c14f-171f-4f3c-9455-4c3252223087", - "port": "outlabel" - }, - "target": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "02895c3c-06cb-49d7-9e3f-012ee448d996", - "size": 3 + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" }, - "size": 3 + "position": { + "x": 552, + "y": 48 + } }, { - "source": { - "block": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", - "port": "outlabel" - }, - "target": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", - "size": 3 - }, - "size": 3 - }, - { - "source": { - "block": "374613cb-968d-46d1-94a4-16686e7df28d", - "port": "constant-out" - }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" - } - }, - { - "source": { - "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "port": "constant-out" + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + "position": { + "x": 96, + "y": 56 } }, { - "source": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 96, + "y": 128 } }, { - "source": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 248, + "y": -24 }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 408, + "y": 48 }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "port": "out" }, "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "port": "constant-out" - }, - "target": { - "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "port": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0" + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "0867b1bc-1cc5-4707-8ddb-40d0318d237c" - }, - "size": 3 + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55" + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2" - }, - "size": 3 + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "3048aac04179d3c8aa21b28da9ad8bff04ce2899": { + "ed50bc004101bfcc4a4c396b8e7aed50cde543ac": { "package": { - "name": "Registro", - "version": "0.1", - "description": "Registro de 3 bits con entrada de reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "name": "Mayor-que-igual-1-op-3-bits", + "version": "0.2", + "description": "Comparador mayor que o igual, de un operando de 3 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.197%22%20height=%22366.876%22%20viewBox=%220%200%2088.95201%2097.069235%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2249.696%22%20y=%22150.143%22%20font-size=%22132.193%22%20stroke-width=%22.078%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3Ctspan%20x=%2249.696%22%20y=%22150.143%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.282%22%3E>%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22158.289%22%20y=%22125.35%22%20font-size=%2267.97%22%20stroke-width=%22.04%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3C/text%3E%3Cpath%20d=%22M2.332%2090.88L86.62%2059.13%22%20stroke=%22#00f%22%20stroke-width=%2213.229%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 168 - } - }, - { - "id": "a2b70876-c7c0-4208-a403-eed81e32fe5a", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 80, - "y": 216 - } - }, - { - "id": "26cb5fd1-f03e-440e-add7-90181e93e0aa", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", "type": "basic.output", "data": { - "name": "q", - "range": "[2:0]", - "size": 3 + "name": "" }, "position": { - "x": 632, - "y": 248 + "x": 616, + "y": 160 } }, { - "id": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", + "id": "3baedf81-c82e-4ab2-a5ee-86c786996c27", "type": "basic.input", "data": { - "name": "", + "name": "a", + "virtual": true, "range": "[2:0]", - "clock": false, - "size": 3 - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", + "pins": [ + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], "clock": false }, "position": { - "x": 80, - "y": 320 + "x": 152, + "y": 160 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "437fac17-b8b4-4d9f-8d03-27300b3b9466", "type": "basic.constant", "data": { "name": "", - "value": "0", + "value": "1", "local": false }, "position": { - "x": 376, - "y": 56 + "x": 408, + "y": 48 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", "type": "basic.code", "data": { - "code": "localparam N = 3;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q <= 0;\n else\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d", + "name": "a", "range": "[2:0]", "size": 3 - }, - { - "name": "load" } ], "out": [ { - "name": "q", - "range": "[2:0]", - "size": 3 + "name": "eq" } ] - } + }, + "params": [ + { + "name": "B" + } + ], + "code": "assign eq = (a >= B);" }, "position": { - "x": 280, - "y": 176 + "x": 344, + "y": 160 }, "size": { - "width": 288, - "height": 200 + "width": 224, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" + } }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" + "block": "437fac17-b8b4-4d9f-8d03-27300b3b9466", + "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "B" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "3baedf81-c82e-4ab2-a5ee-86c786996c27", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } - }, - { - "source": { - "block": "a2b70876-c7c0-4208-a403-eed81e32fe5a", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "rst" - } - }, - { - "source": { - "block": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 3 - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" - }, - "target": { - "block": "26cb5fd1-f03e-440e-add7-90181e93e0aa", - "port": "in" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" }, "size": 3 } @@ -10604,150 +10252,155 @@ } } }, - "b40da6e557650abc55a25a2c69a6511959dc84e2": { + "2ae6c6f4418c0c187b3627376225fc4f7442bc3b": { "package": { - "name": "Comparador de dos operandos", + "name": "Bus8-Join-6-2", "version": "0.1", - "description": "Comparador de dos operandos de 3 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Bus8-Join-6-2: Join the two buses into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "id": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "1", + "range": "[5:0]", "clock": false, - "size": 3 + "size": 6 }, "position": { - "x": 152, - "y": 104 + "x": 128, + "y": 136 } }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 616, - "y": 160 + "x": 640, + "y": 200 } }, { - "id": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "id": "d27f1697-8fc0-4125-9747-9691b098cb29", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "0", + "range": "[1:0]", "clock": false, - "size": 3 + "size": 2 }, "position": { - "x": 152, - "y": 200 + "x": 136, + "y": 224 } }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign eq = (a == b);", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[2:0]", - "size": 3 + "name": "i1", + "range": "[5:0]", + "size": 6 }, { - "name": "b", - "range": "[2:0]", - "size": 3 + "name": "i0", + "range": "[1:0]", + "size": 2 } ], "out": [ { - "name": "eq" + "name": "o", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 344, - "y": 160 + "x": 296, + "y": 176 }, "size": { - "width": 224, - "height": 64 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "port": "in" - } + }, + "size": 8 }, { "source": { - "block": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "block": "d27f1697-8fc0-4125-9747-9691b098cb29", "port": "out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "size": 3 + "size": 2 }, { "source": { - "block": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "block": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", "port": "out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 3 + "size": 6 } ] } } }, - "e81274ef9d736a1810cc627ec4f61d7016e01548": { + "a5ad63c4387b9d7a9548afddbc8a9787b9328790": { "package": { - "name": "Constante-3bits", - "version": "0.0.1", - "description": "Valor genérico constante (menos 1), de 3 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "name": "6-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 6-bits generic constant (0-63)", "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "id": "72bdb170-f2b3-4c77-a258-db39a7bef38d", "type": "basic.output", "data": { - "name": "k", - "range": "[2:0]", - "size": 3 + "name": "", + "range": "[5:0]", + "size": 6 }, "position": { - "x": 960, + "x": 944, "y": 248 } }, @@ -10756,19 +10409,19 @@ "type": "basic.constant", "data": { "name": "", - "value": "1", + "value": "0", "local": false }, "position": { "x": 728, - "y": 112 + "y": 128 } }, { "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "assign k = VALUE-1;", + "code": "assign k = VALUE;", "params": [ { "name": "VALUE" @@ -10779,8 +10432,8 @@ "out": [ { "name": "k", - "range": "[2:0]", - "size": 3 + "range": "[5:0]", + "size": 6 } ] } @@ -10812,1900 +10465,1690 @@ "port": "k" }, "target": { - "block": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "block": "72bdb170-f2b3-4c77-a258-db39a7bef38d", "port": "in" }, - "size": 3 + "size": 6 } ] } } }, - "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f": { + "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { "package": { - "name": "sum-1op-3bits", + "name": "Bus2-Join-all", "version": "0.1", - "description": "Sumador de un operando de 3 bits con una constante pasada como parámetro (No hay accarreo)", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "1", + "clock": false }, "position": { - "x": 208, - "y": 192 + "x": 112, + "y": 144 } }, { - "id": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", "type": "basic.output", "data": { "name": "", - "range": "[2:0]", - "size": 3 + "range": "[1:0]", + "size": 2 }, "position": { - "x": 672, - "y": 192 + "x": 584, + "y": 200 } }, { - "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "type": "basic.constant", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", "data": { - "name": "", - "value": "1", - "local": false + "name": "0", + "clock": false }, "position": { - "x": 448, - "y": 96 + "x": 112, + "y": 256 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + k;", - "params": [ - { - "name": "k" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "a", - "range": "[2:0]", - "size": 3 + "name": "i1" + }, + { + "name": "i0" } ], "out": [ { - "name": "s", - "range": "[2:0]", - "size": 3 + "name": "o", + "range": "[1:0]", + "size": 2 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 296, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "port": "constant-out" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "k" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 3 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", "port": "in" }, - "size": 3 + "size": 2 } ] } } }, - "259ba4ac4c27d6d3ab8316419a0de7bf952a242e": { + "6b14d5741f7e62a08c61190175d6447b05954bbd": { "package": { - "name": "mi-tabla3-8", - "version": "0.1", - "description": "Circuito combinacional de 3 entradas y 8 salidas", - "author": "IceFactory 0.1", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "1-bit-gen-constant", + "version": "0.0.2", + "description": "1-bit generic constant (0/1)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "186c4116-7846-4c8e-98a0-7376675105f1", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 - }, - "position": { - "x": -8, - "y": 424 - } - }, - { - "id": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 632, - "y": 424 + "x": 960, + "y": 248 } }, { - "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "type": "basic.memory", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { "name": "", - "list": "0\n0\n0\n0", + "value": "0", "local": false }, "position": { - "x": 328, - "y": 96 - }, - "size": { - "width": 96, - "height": 104 + "x": 728, + "y": 128 } }, { - "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "\n//-- Bits del bus de entrada\nlocalparam N = 3;\n\n//-- Bits del bus de salida\nlocalparam M = 8;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend", + "code": "assign k = VALUE;", "params": [ { - "name": "DATA" + "name": "VALUE" } ], "ports": { - "in": [ - { - "name": "i", - "range": "[2:0]", - "size": 3 - } - ], + "in": [], "out": [ { - "name": "q", - "range": "[7:0]", - "size": 8 + "name": "k" } ] } }, "position": { - "x": 176, - "y": 264 + "x": 672, + "y": 248 }, "size": { - "width": 392, - "height": 384 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "port": "memory-out" + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "DATA" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { "source": { - "block": "186c4116-7846-4c8e-98a0-7376675105f1", - "port": "out" - }, - "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "i" - }, - "size": 3 - }, - { - "source": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "q" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", "port": "in" - }, - "size": 8 + } } ] } } }, - "d1a52ec25aee5e4823102a32325600666fe99e12": { + "d422557fd95e765b3e0216b4d29d5c32a2e2b5a4": { "package": { - "name": "8-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (8-bit channels)", + "name": "count-04-3bits", + "version": "0.2", + "description": "Máquina de contar, de 2 bits (cuenta hasta 4 ciclos)", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", - "type": "basic.outputLabel", + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", "data": { - "name": "A1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 + "name": "", + "clock": true }, "position": { - "x": 768, - "y": 192 + "x": 592, + "y": -416 } }, { - "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", "type": "basic.inputLabel", "data": { - "name": "A1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 552, - "y": 208 + "x": 736, + "y": -416 } }, { - "id": "520455a3-592c-4fd0-ade9-62d366c88919", - "type": "basic.input", + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", + "type": "basic.outputLabel", "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 200, - "y": 264 + "x": 1416, + "y": -352 } }, { - "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", - "type": "basic.outputLabel", + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", "data": { - "name": "B1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "turquoise", - "size": 4 + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, "position": { - "x": 768, - "y": 264 + "x": 952, + "y": -304 } }, { - "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", - "type": "basic.inputLabel", + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", "data": { - "name": "A0", - "range": "[3:0]", "blockColor": "fuchsia", - "size": 4 + "name": "rst" }, "position": { - "x": 544, - "y": 280 + "x": 1416, + "y": -288 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "id": "40607400-436e-4dea-b733-8308a06fd4ef", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 808, - "y": 416 + "x": 584, + "y": -224 } }, { - "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "Busy" }, "position": { - "x": 1336, - "y": 432 + "x": 2312, + "y": -216 } }, { - "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", - "type": "basic.inputLabel", + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", "data": { - "name": "B1", - "range": "[3:0]", - "blockColor": "lightseagreen", - "size": 4 + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": 528 + "x": 2152, + "y": -216 } }, { - "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", - "type": "basic.outputLabel", + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", "data": { - "name": "A0", - "range": "[3:0]", "blockColor": "fuchsia", - "size": 4 + "name": "rst" }, "position": { - "x": 808, - "y": 552 + "x": 952, + "y": -192 } }, { - "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", "type": "basic.input", "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "start", + "clock": false }, "position": { - "x": 208, - "y": 592 + "x": 584, + "y": -136 } }, { - "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "id": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", "type": "basic.inputLabel", "data": { - "name": "B0", - "range": "[3:0]", - "blockColor": "turquoise", - "size": 4 + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "size": 3 }, "position": { - "x": 584, - "y": 608 + "x": 1832, + "y": -104 } }, { - "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "id": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", "type": "basic.outputLabel", "data": { - "name": "B0", - "range": "[3:0]", - "blockColor": "turquoise", - "size": 4 + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 }, "position": { - "x": 808, - "y": 616 + "x": 1200, + "y": -104 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 944, + "y": -72 + } + }, + { + "id": "afac67ea-64eb-4387-856b-46ad5d276971", "type": "basic.outputLabel", "data": { + "name": "cnt", + "range": "[2:0]", "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "size": 3 }, "position": { - "x": 808, - "y": 680 + "x": 2160, + "y": -72 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "type": "basic.output", "data": { - "name": "sel", - "clock": false + "name": "n", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 392, - "y": 768 + "x": 2312, + "y": -72 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "gold", + "name": "stop", "oldBlockColor": "fuchsia" }, "position": { - "x": 552, - "y": 768 + "x": 584, + "y": -24 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", "data": { - "info": "Channel B", - "readonly": true + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, "position": { - "x": 424, - "y": 512 - }, - "size": { - "width": 144, - "height": 32 + "x": 1472, + "y": 16 } }, { - "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "type": "952eda35358117b68b3f8a2489e9dc86168d0144", - "position": { - "x": 968, - "y": 568 + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 920, + "y": 24 } }, { - "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", - "type": "basic.info", + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", "data": { - "info": "Channel A", - "readonly": true + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, "position": { - "x": 424, - "y": 168 - }, - "size": { - "width": 144, - "height": 32 + "x": 2160, + "y": 104 } }, { - "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 1152, - "y": 432 + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2328, + "y": 104 } }, { - "id": "10dbbb33-fa47-4d9f-8723-170519859684", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": 376, - "y": 264 + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1544, + "y": 136 } }, { - "id": "9714e6fb-453b-435a-b124-72e5a30ff428", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": 392, - "y": 592 + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 648, + "y": 152 } }, { - "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "type": "952eda35358117b68b3f8a2489e9dc86168d0144", - "position": { - "x": 960, - "y": 248 + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 1080, + "y": 168 } - } - ], - "wires": [ + }, { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "port": "inlabel" + "position": { + "x": 616, + "y": 208 } }, { - "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "position": { + "x": 1360, + "y": 216 } }, { - "source": { - "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", - "port": "outlabel" - }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "size": 4 + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "vertices": [ - { - "x": 928, - "y": 624 - } - ], - "size": 4 + "position": { + "x": 2160, + "y": 248 + } }, { - "source": { - "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", - "port": "outlabel" - }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "size": 4 + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" }, - "size": 4 + "position": { + "x": 2320, + "y": 248 + } }, { - "source": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "port": "outlabel" + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "position": { + "x": 1952, + "y": 288 } }, { - "source": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", - "port": "outlabel" - }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", - "port": "outlabel" - }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "size": 4 - }, - "size": 4 - }, - { - "source": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c" - }, - "target": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" - }, - "size": 4 - }, - { - "source": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" - }, - "target": { - "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "520455a3-592c-4fd0-ade9-62d366c88919", - "port": "out" - }, - "target": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "e045f285-627f-42f7-b073-faa36ef6d420", - "port": "out" - }, - "target": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" - }, - "size": 8 - }, - { - "source": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c" - }, - "target": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" - }, - "vertices": [ - { - "x": 1088, - "y": 360 - } - ], - "size": 4 - } - ] - } - } - }, - "952eda35358117b68b3f8a2489e9dc86168d0144": { - "package": { - "name": "4-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (4-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "A3", + "blockColor": "darkgreen", + "name": "cycle", "oldBlockColor": "fuchsia" }, "position": { - "x": 712, - "y": -72 + "x": 1360, + "y": 288 } }, { - "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "id": "10afc859-04fd-433d-823a-4fa4721320c1", "type": "basic.outputLabel", "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" + "blockColor": "fuchsia", + "name": "start" }, "position": { - "x": 712, - "y": 0 + "x": 1680, + "y": 376 } }, { - "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", - "type": "basic.outputLabel", + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "gold", + "name": "stop", "oldBlockColor": "fuchsia" }, "position": { - "x": 712, - "y": 72 + "x": 1144, + "y": 376 } }, { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "id": "5324c14f-171f-4f3c-9455-4c3252223087", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 }, "position": { - "x": 712, - "y": 160 + "x": 688, + "y": 552 } }, { - "id": "6776605f-93ce-4cae-8606-055bd2415b2d", - "type": "basic.inputLabel", + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "A3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "N", + "value": "8", + "local": false }, "position": { - "x": 432, - "y": 160 + "x": 680, + "y": 352 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "type": "basic.inputLabel", + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "A2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "", + "value": "1", + "local": true }, "position": { - "x": 440, - "y": 224 + "x": 1352, + "y": -208 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "type": "basic.outputLabel", + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "name": "", + "value": "0", + "local": true }, "position": { - "x": 712, - "y": 232 + "x": 1648, + "y": -256 } }, { - "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", "position": { - "x": 120, - "y": 240 + "x": 1016, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", "position": { - "x": 432, - "y": 296 + "x": 1824, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "type": "basic.outputLabel", + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "**Reloj del sistema**", + "readonly": true }, "position": { - "x": 712, - "y": 304 + "x": 680, + "y": -472 + }, + "size": { + "width": 192, + "height": 40 } }, { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "**Estado de** \n**la máquina**", + "readonly": true }, "position": { - "x": 416, - "y": 360 + "x": 760, + "y": -248 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1", - "oldBlockColor": "fuchsia" + "info": "Máquina encendida", + "readonly": true }, "position": { - "x": 712, - "y": 400 + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "0c30aa42-915c-42e9-9179-f46349c9400c", - "type": "basic.output", + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "info": "Máquina apagada \n(rst = 1)", + "readonly": true }, "position": { - "x": 1256, - "y": 416 + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "type": "basic.inputLabel", + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "turquoise" + "info": "Tic de arranque", + "readonly": true }, "position": { - "x": 456, - "y": 464 + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", - "type": "basic.outputLabel", + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" + "info": "Tic de fin", + "readonly": true }, "position": { - "x": 712, - "y": 488 + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "type": "basic.inputLabel", + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "turquoise" + "info": "**Contador de ciclos**", + "readonly": true }, "position": { - "x": 464, - "y": 528 + "x": 1480, + "y": -424 + }, + "size": { + "width": 224, + "height": 40 } }, { - "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "type": "basic.input", + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "Número de ciclos \ncontados", + "readonly": true }, "position": { - "x": 120, - "y": 544 + "x": 1832, + "y": -144 + }, + "size": { + "width": 160, + "height": 56 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "type": "basic.outputLabel", + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Valor \nincrementado", + "readonly": true }, "position": { - "x": 712, - "y": 560 + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 } }, { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", - "type": "basic.inputLabel", + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "### Salidas", + "readonly": true }, "position": { - "x": 464, - "y": 592 + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "type": "basic.outputLabel", + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A0" + "info": "Estado de la máquina", + "readonly": true }, "position": { - "x": 720, - "y": 648 + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 } }, { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "Ciclo actual", + "readonly": true }, "position": { - "x": 432, - "y": 648 + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "info": "Cuenta finalizada", + "readonly": true }, "position": { - "x": 720, - "y": 720 + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", "data": { - "name": "sel", - "clock": false + "info": "Ejecutar el ciclo", + "readonly": true }, "position": { - "x": 104, - "y": 728 + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "Número de ciclos \na contar", + "readonly": true }, "position": { - "x": 264, - "y": 728 + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "type": "basic.outputLabel", + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true }, "position": { - "x": 712, - "y": 800 + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 } }, { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", + "data": { + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true + }, "position": { - "x": 856, - "y": 472 + "x": 648, + "y": 88 }, "size": { - "width": 96, - "height": 96 + "width": 216, + "height": 80 } }, { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", + "data": { + "info": "Nuevo ciclo", + "readonly": true + }, "position": { - "x": 864, - "y": 704 + "x": 920, + "y": 168 }, "size": { - "width": 96, - "height": 96 + "width": 136, + "height": 40 } }, { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", "type": "basic.info", "data": { - "info": "Channel A", + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", "readonly": true }, "position": { - "x": 304, - "y": 128 + "x": 1128, + "y": 304 }, "size": { - "width": 144, - "height": 32 + "width": 208, + "height": 72 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "id": "9baabc30-8c27-4b55-92e4-d59783269162", "type": "basic.info", "data": { - "info": "Channel B", + "info": "Apagar la \nmáquina", "readonly": true }, "position": { - "x": 304, - "y": 480 + "x": 600, + "y": -64 }, "size": { - "width": 144, - "height": 32 + "width": 120, + "height": 56 } }, { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", + "data": { + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true + }, "position": { - "x": 856, - "y": 216 + "x": 1464, + "y": -32 }, "size": { - "width": 96, - "height": 96 + "width": 208, + "height": 56 } }, { - "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "type": "84f0a15761ee8b753f67079819a7614923939472", + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", + "data": { + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true + }, "position": { - "x": 1040, - "y": 384 + "x": 1680, + "y": 440 }, "size": { - "width": 96, - "height": 128 + "width": 248, + "height": 72 } }, { - "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", + "data": { + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true + }, "position": { - "x": 856, - "y": -16 + "x": 1688, + "y": 168 }, "size": { - "width": 96, - "height": 96 + "width": 208, + "height": 80 } }, { - "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", + "data": { + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true + }, "position": { - "x": 288, - "y": 512 + "x": 1408, + "y": 344 }, "size": { - "width": 96, - "height": 128 + "width": 256, + "height": 72 } }, { - "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "type": "b40da6e557650abc55a25a2c69a6511959dc84e2", "position": { - "x": 280, - "y": 208 + "x": 856, + "y": 512 }, "size": { "width": 96, - "height": 128 + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "id": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "type": "e81274ef9d736a1810cc627ec4f61d7016e01548", + "position": { + "x": 680, + "y": 448 }, - "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "id": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "type": "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f", + "position": { + "x": 1352, + "y": -104 }, - "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "type": "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f", + "position": { + "x": 752, + "y": -168 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "type": "94f5ce7eee54d456c6cfe8c626023547cbad8b21", + "position": { + "x": 1648, + "y": -152 + }, + "size": { + "width": 96, + "height": 128 } }, + { + "id": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1520, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", "port": "inlabel" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", "port": "inlabel" } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", "port": "inlabel" - } + }, + "vertices": [ + { + "x": 888, + "y": -216 + } + ] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "port": "inlabel" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" } }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "port": "outlabel" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "port": "inlabel" } }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "port": "outlabel" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" } }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "block": "a756b829-42d1-4779-b42d-a9acc3800854", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" + } }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "block": "10afc859-04fd-433d-823a-4fa4721320c1", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", "port": "inlabel" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", "port": "inlabel" } }, { "source": { - "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } }, { "source": { - "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", - "port": "outlabel" + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "port": "inlabel" + } }, { "source": { - "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "block": "40607400-436e-4dea-b733-8308a06fd4ef", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" + } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "port": "outlabel" }, "target": { - "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "port": "inlabel" + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", + "port": "outlabel" }, "target": { - "block": "6776605f-93ce-4cae-8606-055bd2415b2d", - "port": "inlabel" + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" }, "vertices": [ { - "x": 984, - "y": 472 + "x": 1472, + "y": 264 } ] }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" } }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "afac67ea-64eb-4387-856b-46ad5d276971", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "port": "in", + "size": 3 }, - "vertices": [ - { - "x": 976, - "y": 352 - } - ] + "size": 3 }, { "source": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "5324c14f-171f-4f3c-9455-4c3252223087", + "port": "outlabel" }, "target": { - "block": "0c30aa42-915c-42e9-9179-f46349c9400c", - "port": "in" + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "size": 3 }, - "size": 4 + "size": 3 }, { "source": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 3 + }, + "target": { + "block": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", + "port": "inlabel" + }, + "size": 3 + }, + { + "source": { + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" + }, + "target": { + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" } }, { "source": { - "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "port": "out" + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" }, "target": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + } + }, + { + "source": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" }, - "size": 4 + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" + }, + "target": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", "port": "out" }, "target": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + } + }, + { + "source": { + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "port": "out" }, - "size": 4 + "target": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0" + }, + "target": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0867b1bc-1cc5-4707-8ddb-40d0318d237c" + }, + "size": 3 + }, + { + "source": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55" + }, + "target": { + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "size": 3 } ] } } }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a" + "name": "" }, "position": { - "x": 456, - "y": 360 + "x": 64, + "y": 88 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 1096, - "y": 392 + "x": 784, + "y": 152 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "1", - "clock": false + "name": "" }, "position": { - "x": 136, - "y": 416 + "x": 64, + "y": 224 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 272, - "y": 416 + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 } - }, + } + ], + "wires": [ { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, - "position": { - "x": 272, - "y": 528 + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" - }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" - } - }, - { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" - }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + } } ] } } }, - "873425949b2a80f1a7f66f320796bcd068a59889": { + "81613874c6152f06c06ed7014bf4235900cfcc30": { "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", + "name": "OR", + "version": "1.0.1", + "description": "Puerta OR", "author": "Jesús Arroyo, Juan González", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, @@ -12719,8 +12162,8 @@ "name": "" }, "position": { - "x": 112, - "y": 40 + "x": 64, + "y": 88 } }, { @@ -12730,8 +12173,8 @@ "name": "" }, "position": { - "x": 608, - "y": 72 + "x": 784, + "y": 152 } }, { @@ -12741,15 +12184,15 @@ "name": "" }, "position": { - "x": 112, - "y": 96 + "x": 64, + "y": 224 } }, { "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "code": "//-- Puerta OR\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a | b;\n\n//-- endmodule", "params": [], "ports": { "in": [ @@ -12772,8 +12215,8 @@ "y": 48 }, "size": { - "width": 312, - "height": 104 + "width": 464, + "height": 272 } } ], @@ -12812,1391 +12255,1187 @@ } } }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "b40da6e557650abc55a25a2c69a6511959dc84e2": { "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Comparador de dos operandos", + "version": "0.1", + "description": "Comparador de dos operandos de 3 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 96, - "y": 56 + "x": 152, + "y": 104 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 600, - "y": 96 + "x": 616, + "y": 160 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "02895c3c-06cb-49d7-9e3f-012ee448d996", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 96, - "y": 128 + "x": 152, + "y": 200 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", "type": "basic.code", "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "code": "assign eq = (a == b);", "params": [], "ports": { "in": [ { - "name": "a" + "name": "a", + "range": "[2:0]", + "size": 3 }, { - "name": "b" + "name": "b", + "range": "[2:0]", + "size": 3 } ], "out": [ { - "name": "c" + "name": "eq" } ] } }, "position": { - "x": 256, - "y": 48 + "x": 344, + "y": 160 }, "size": { - "width": 304, - "height": 152 + "width": 224, + "height": 64 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", + "block": "02895c3c-06cb-49d7-9e3f-012ee448d996", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", "port": "b" - } + }, + "size": 3 }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "port": "out" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 3 } ] } } }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "e81274ef9d736a1810cc627ec4f61d7016e01548": { "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Constante-3bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 3 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", + "id": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "type": "basic.output", "data": { - "name": "" + "name": "k", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 112, - "y": 72 + "x": 960, + "y": 248 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "name": "" + "name": "", + "value": "1", + "local": false }, "position": { - "x": 560, - "y": 72 + "x": 728, + "y": 112 } }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], "ports": { - "in": [ - { - "name": "a" - } - ], + "in": [], "out": [ { - "name": "q" + "name": "k", + "range": "[2:0]", + "size": 3 } ] } }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 + "x": 672, + "y": 248 }, "size": { - "width": 80, - "height": 40 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", "port": "in" - } + }, + "size": 3 } ] } } }, - "84f0a15761ee8b753f67079819a7614923939472": { + "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f": { "package": { - "name": "Bus4-Join-all", + "name": "sum-1op-3bits", "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "description": "Sumador de un operando de 3 bits con una constante pasada como parámetro (No hay accarreo)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "id": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", "type": "basic.input", "data": { - "name": "2", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 80, - "y": 136 + "x": 208, + "y": 192 } }, { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "id": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", "type": "basic.output", "data": { "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false + "range": "[2:0]", + "size": 3 }, "position": { - "x": 80, - "y": 208 + "x": 672, + "y": 192 } }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", "data": { - "name": "0", - "clock": false + "name": "", + "value": "1", + "local": false }, "position": { - "x": 80, - "y": 272 + "x": 448, + "y": 96 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], "ports": { "in": [ { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" + "name": "a", + "range": "[2:0]", + "size": 3 } ], "out": [ { - "name": "o", - "range": "[3:0]", - "size": 4 + "name": "s", + "range": "[2:0]", + "size": 3 } ] } }, "position": { - "x": 296, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 344, - "height": 104 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" } }, { "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "block": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] + "size": 3 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "block": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", "port": "in" }, - "size": 4 + "size": 3 } ] } } }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f": { "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Machine-state", + "version": "0.2", + "description": "Biestable de almacenamiento del estado de la máquina", + "author": "Juan Gonzalez-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22404.343%22%20width=%22319.18%22%20id=%22svg4%22%3E%3Cdefs%20id=%22defs8%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path898%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-53%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-91%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-2%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path974%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20d=%22M124.728%2079.637c-24.895%200-45.091%2020.196-45.091%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.049-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.979-15.575-19.578%208.04-9.938%2030.421-1.27%204.038h-28.41L98.85%20215.155%2079.27%20207.08l-28.514%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.37-9.92L0%20139.555v-28.393L34.362%2098.85l8.067-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L109.92%200h28.401l12.304%2034.38%2019.527%208.084%2028.523-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20id=%22path2%22%20fill=%22#00f%22%20stroke-width=%22.278%22/%3E%3Cg%20id=%22g992-5%22%20transform=%22matrix(3.23542%200%200%203.23542%20-54.399%20117.43)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22g1022%22%20transform=%22matrix(.5585%200%200%20.5585%2076.484%2043.495)%22%3E%3Cg%20transform=%22translate(3.47%203.198)%22%20id=%22layer1%22%3E%3Cpath%20id=%22path9-3%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path11%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20id=%22line17%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20id=%22path21-3%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path826%22%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20ry=%2219.847%22%20y=%223.671%22%20x=%2295.783%22%20height=%22135.189%22%20width=%22135.189%22%20id=%22rect845%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20id=%22path7-6%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20class=%22st2%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/g%3E%3Cg%20id=%22g992-5-7%22%20transform=%22matrix(3.23542%200%200%203.23542%20-57.653%2011.124)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62-5%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9-3%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", + "id": "046501b8-4427-4d4d-af97-7fe807774f33", + "type": "basic.input", "data": { - "name": "3" + "name": "", + "clock": true }, "position": { - "x": 576, - "y": 80 + "x": 408, + "y": -72 } }, { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", + "id": "05f62e09-33f9-435f-8355-d4529a75ab42", + "type": "basic.inputLabel", "data": { - "name": "2" + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 600, - "y": 144 + "x": 552, + "y": -72 } }, { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", + "id": "0d991cee-b329-439b-b9e7-5712d2db539d", + "type": "basic.output", "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "state" }, "position": { - "x": 128, - "y": 184 + "x": 960, + "y": 8 } }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "id": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", "type": "basic.output", "data": { - "name": "1" + "name": "rst" }, "position": { - "x": 592, - "y": 240 + "x": 960, + "y": 120 } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", + "id": "a83c9acd-2e13-413d-907f-7231924811d8", + "type": "basic.outputLabel", "data": { - "name": "0" + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 568, - "y": 296 + "x": 448, + "y": 216 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "2b16f62c-39ef-4e1c-aa83-f0d248bfecc8", + "type": "basic.outputLabel", "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "x": 800, + "y": 216 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "aefe9248-3b3d-441b-9e65-33cda65b99dd", + "type": "basic.output", + "data": { + "name": "on" }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" + "position": { + "x": 1088, + "y": 288 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "id": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" + "position": { + "x": 424, + "y": 288 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "id": "ba15eacb-1e03-4580-932b-3231703481e5", + "type": "basic.input", + "data": { + "name": "stop", + "clock": false }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" + "position": { + "x": 424, + "y": 352 } }, { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", + "id": "00a49b33-7325-4584-86d5-fbf8c73a8fab", + "type": "basic.outputLabel", "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 128, - "y": 168 + "x": 808, + "y": 368 } }, { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "id": "3802390d-8417-4369-b93c-dda647ccb0c6", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "off" }, "position": { - "x": 640, - "y": 200 + "x": 1080, + "y": 440 } }, { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 - }, + "id": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", "position": { - "x": 128, - "y": 224 + "x": 736, + "y": 120 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "42f19387-aa1f-4514-88aa-adca9bf9f03b", + "type": "basic.info", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } + "info": "**Tic de apagado**", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 1080, + "y": 424 }, "size": { - "width": 272, - "height": 104 + "width": 168, + "height": 40 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 }, { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" + "id": "eaab4880-4c6d-422f-a8ab-c06e579aa7c6", + "type": "basic.info", + "data": { + "info": "**Tic de encendido**", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "position": { + "x": 1080, + "y": 272 }, - "size": 4 + "size": { + "width": 168, + "height": 40 + } }, { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", + "id": "4e85996b-c40e-4f84-bfbc-ff87d4bc425d", + "type": "basic.info", "data": { - "name": "1", - "range": "[3:0]", - "size": 4 + "info": "**Inicializar**", + "readonly": true }, "position": { - "x": 584, - "y": 104 + "x": 968, + "y": 96 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", + "id": "130f81c9-ce87-47a8-b393-762b31a724fc", + "type": "basic.info", "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 + "info": "**Estado de la máquina**", + "readonly": true }, "position": { - "x": 120, - "y": 208 + "x": 936, + "y": -16 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, + "id": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", "position": { - "x": 584, - "y": 232 + "x": 600, + "y": 272 + }, + "size": { + "width": 96, + "height": 96 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } + "id": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 928, + "y": 272 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "type": "3ae3bbe36b39edd47bc66dd6290af6cb6c47fa47", "position": { - "x": 272, - "y": 176 + "x": 944, + "y": 424 }, "size": { - "width": 240, - "height": 120 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "port": "out" }, "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" + "block": "05f62e09-33f9-435f-8355-d4529a75ab42", + "port": "inlabel" + } + }, + { + "source": { + "block": "a83c9acd-2e13-413d-907f-7231924811d8", + "port": "outlabel" }, - "size": 4 + "target": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "2b16f62c-39ef-4e1c-aa83-f0d248bfecc8", + "port": "outlabel" }, "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "00a49b33-7325-4584-86d5-fbf8c73a8fab", + "port": "outlabel" }, - "size": 4 + "target": { + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } }, { "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + } + }, + { + "source": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": 8 - } - ] - } - } - }, - "d8cb5af928591ff6b1ce2e5670dc1efbea1f9d9d": { - "package": { - "name": "Comp1-x03", - "version": "0.1", - "description": "Comp1-x03: Comparator of 3-bits operand and parameter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618596618675 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "target": { + "block": "0d991cee-b329-439b-b9e7-5712d2db539d", + "port": "in" }, - "position": { - "x": 904, - "y": 344 - } + "vertices": [ + { + "x": 720, + "y": 144 + } + ] }, { - "id": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "source": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 520, - "y": 408 + "target": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 520, - "y": 224 + "target": { + "block": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", + "port": "in" } }, { - "id": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", - "position": { - "x": 520, - "y": 328 + "source": { + "block": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, - { - "id": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", - "position": { - "x": 720, - "y": 344 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "port": "constant-out" + "block": "ba15eacb-1e03-4580-932b-3231703481e5", + "port": "out" }, "target": { - "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } }, { "source": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "aefe9248-3b3d-441b-9e65-33cda65b99dd", "port": "in" } }, { "source": { - "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5" + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, - "size": 3 + "vertices": [ + { + "x": 744, + "y": 368 + } + ] }, { "source": { - "block": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", - "port": "out" + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" - }, - "size": 3 + "block": "3802390d-8417-4369-b93c-dda647ccb0c6", + "port": "in" + } } ] } } }, - "7b367d65207b1a2d3bb13af0fccc5d425d108259": { + "35f267d0df6ffcb7fc33753bc9df9cf083642cca": { "package": { - "name": "3-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 3-bits generic constant (0-7)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + "name": "NOT", + "version": "1.0.3", + "description": "Puerta NOT", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "50004d4c-3153-4049-88f7-bad5e748383b", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "name": "" }, "position": { - "x": 952, - "y": 248 + "x": 64, + "y": 144 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 128 + "x": 752, + "y": 144 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[2:0]", - "size": 3 + "name": "c" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 + "width": 400, + "height": 256 } } ], "wires": [ { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "c" }, "target": { - "block": "50004d4c-3153-4049-88f7-bad5e748383b", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 3 + } } ] } } }, - "18457bd686e8b20fc5d40286f87f8393f6e52a51": { + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { "package": { - "name": "comp2-3bits", - "version": "0.1", - "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 }, "design": { "graph": { "blocks": [ { - "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a2", - "oldBlockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 904, + "x": 240, "y": 0 } }, { - "id": "7979c265-4d7d-4368-b94a-3028a47786ed", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "" }, "position": { - "x": 672, - "y": 64 + "x": 832, + "y": 120 } }, { - "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", - "type": "basic.outputLabel", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "name": "set", + "clock": false }, "position": { - "x": 904, - "y": 72 + "x": 232, + "y": 120 } }, { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 672, - "y": 120 - } - }, - { - "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "reset", + "clock": false }, "position": { - "x": 360, - "y": 120 + "x": 232, + "y": 240 } }, { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "type": "basic.outputLabel", + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "a1" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 904, - "y": 176 + "x": 536, + "y": -144 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "type": "basic.inputLabel", + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "a0", - "pins": [ + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ { - "index": "0", - "name": "NULL", - "value": "NULL" + "name": "INI" } ], - "virtual": true + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 672, - "y": 176 + "x": 400, + "y": -32 + }, + "size": { + "width": 360, + "height": 360 } - }, + } + ], + "wires": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 1416, - "y": 232 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "b1", - "oldBlockColor": "fuchsia" + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" }, - "position": { - "x": 904, - "y": 248 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" } }, { - "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 680, - "y": 272 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" }, - "position": { - "x": 680, - "y": 328 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" } }, { - "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "source": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { + "package": { + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "clock": true }, "position": { - "x": 368, - "y": 328 + "x": 152, + "y": 152 } }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", - "type": "basic.outputLabel", + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "a0", - "oldBlockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 904, - "y": 344 + "x": 840, + "y": 160 } }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "type": "basic.inputLabel", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", "data": { - "blockColor": "deeppink", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "", + "clock": false }, "position": { - "x": 680, - "y": 384 + "x": 152, + "y": 280 } }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "type": "basic.outputLabel", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", "data": { - "blockColor": "deeppink", - "name": "b0", - "oldBlockColor": "fuchsia" + "name": "" }, "position": { - "x": 904, - "y": 416 - } - }, - { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, + "x": 840, "y": 400 - }, - "size": { - "width": 96, - "height": 64 } }, { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, "position": { - "x": 1056, - "y": 232 + "x": 176, + "y": -16 }, "size": { - "width": 96, - "height": 64 + "width": 568, + "height": 80 } }, { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", "type": "basic.info", "data": { - "info": "A", + "info": "Input signal", "readonly": true }, "position": { - "x": 408, - "y": 104 + "x": 160, + "y": 256 }, "size": { - "width": 80, - "height": 32 + "width": 136, + "height": 40 } }, { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", "type": "basic.info", "data": { - "info": "B", + "info": "System clock", "readonly": true }, "position": { - "x": 408, - "y": 304 + "x": 168, + "y": 120 }, "size": { - "width": 80, - "height": 32 + "width": 96, + "height": 48 } }, { - "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, "position": { - "x": 528, - "y": 104 + "x": 328, + "y": 456 }, "size": { - "width": 96, + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 344, "height": 96 } }, { - "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, "position": { "x": 528, - "y": 312 + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "398207eb-18f3-43a4-b4e5-481480023848", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 1056, - "y": 56 + "x": 696, + "y": 400 }, "size": { "width": 96, @@ -14204,257 +13443,255 @@ } }, { - "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 1272, - "y": 216 + "x": 320, + "y": 264 }, "size": { "width": 96, - "height": 96 + "height": 64 } } ], "wires": [ { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "port": "inlabel" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "port": "inlabel" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "port": "inlabel" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "port": "outlabel" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "port": "outlabel" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } - }, + } + ] + } + } + }, + "3ae3bbe36b39edd47bc66dd6290af6cb6c47fa47": { + "package": { + "name": "Falling-edge-detector CLONE", + "version": "0.3-c1697559169818", + "description": "Falling-edge detector. It generates a 1-period pulse (tic) when a falling edge is detected on the input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%201.984v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.176l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", - "port": "outlabel" + "id": "2b563f82-937a-4a8e-92c0-d29e947d1cac", + "type": "basic.output", + "data": { + "name": "nc" }, - "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 712, + "y": 56 } }, { - "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "port": "outlabel" + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "position": { + "x": 88, + "y": 152 } }, { - "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "target": { - "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "port": "inlabel" + "position": { + "x": 88, + "y": 280 } }, { - "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "7979c265-4d7d-4368-b94a-3028a47786ed", - "port": "inlabel" + "position": { + "x": 688, + "y": 296 } }, { - "source": { - "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", - "port": "outlabel" + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Falling edge detector\n\nIt generates a 1-period pulse (tic) when a falling edge is detected on the \ninput signal", + "readonly": true }, - "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 104, + "y": -40 }, - "vertices": [] + "size": { + "width": 568, + "height": 80 + } }, { - "source": { - "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", - "port": "outlabel" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "position": { + "x": 96, + "y": 256 }, - "vertices": [] + "size": { + "width": 136, + "height": 40 + } }, { - "source": { - "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", - "port": "out" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "position": { + "x": 104, + "y": 120 }, - "size": 3 + "size": { + "width": 96, + "height": 48 + } }, { - "source": { - "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", - "port": "out" - }, - "target": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" - }, - "size": 3 - }, - { - "source": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } - }, - { - "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "position": { + "x": 192, + "y": 368 }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 168, + "height": 48 } }, { - "source": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" - } - } - ] - } - } - }, - "23b15b7d7a1bc7327fad365412864b15e4edf835": { - "package": { - "name": "comp2-1bit", - "version": "0.1", - "description": "Comp2-1bit: Comparator of two 1-bit numbers", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 368, - "y": 280 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "" + "info": "If the current signal is 0 and its value in \nthe previous clock cycle was 1, it means \nthat a falling edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 832, - "y": 296 + "x": 560, + "y": 136 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", - "type": "basic.input", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a falling edge \nand its detection", + "readonly": true }, "position": { - "x": 368, - "y": 344 + "x": 224, + "y": 488 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "8839b104-b532-421e-a2da-a1272176ff9c", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "id": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { "x": 544, "y": 296 @@ -14465,11 +13702,23 @@ } }, { - "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "id": "ffe5388b-d5a9-4c10-a091-472e212b4945", "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 680, - "y": 296 + "x": 312, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 }, "size": { "width": 96, @@ -14480,42 +13729,61 @@ "wires": [ { "source": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "dffad53f-6e57-4c33-8436-42e238b64966", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "2708468d-1088-4570-be63-fb0d4799a941", "port": "out" }, "target": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "port": "in" } }, { "source": { - "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "block": "ffe5388b-d5a9-4c10-a091-472e212b4945", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "ffe5388b-d5a9-4c10-a091-472e212b4945", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", "port": "97b51945-d716-4b6c-9db9-970d08541249" } } @@ -14523,1335 +13791,1126 @@ } } }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "94f5ce7eee54d456c6cfe8c626023547cbad8b21": { "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "03-Reg-rst", + "version": "0.8", + "description": "03-Reg-rst: 3 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 120, - "y": 48 + "x": 560, + "y": 64 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 560, + "x": 1184, "y": 72 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 120, - "y": 104 + "x": 1184, + "y": 144 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "name": "rst", + "clock": false }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "x": 560, + "y": 168 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[2:0]", + "size": 3 }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "position": { + "x": 1184, + "y": 224 } }, { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "9a279550d6cd93b170311188e39bffc553a4f512": { - "package": { - "name": "Bus3-Split-all", - "version": "0.1", - "description": "Bus3-Split-all: Split the 3-bits bus into three wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "name": "2" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 552, - "y": 112 + "x": 560, + "y": 272 } }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "1" + "name": "load", + "clock": false }, "position": { - "x": 552, - "y": 184 + "x": 560, + "y": 376 } }, { - "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", - "type": "basic.input", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "nc" }, "position": { - "x": 144, - "y": 184 + "x": 1184, + "y": 376 } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "name": "0" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 552, - "y": 248 + "x": 864, + "y": -80 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "assign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], "ports": { "in": [ { - "name": "i", + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", "range": "[2:0]", "size": 3 + }, + { + "name": "load" } ], "out": [ { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" + "name": "q", + "range": "[2:0]", + "size": 3 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 3;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 296, - "y": 176 + "x": 720, + "y": 40 }, "size": { - "width": 208, - "height": 80 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, "size": 3 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } } ] } } }, - "ae245c856f7fbc64ed4d999ce91be2c16d5ba038": { + "5528a6b349a945fa8bb99c41ae93efd033c1c18d": { "package": { - "name": "AND3", - "version": "1.0.2", - "description": "Three bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "LCD-write-open-4bits", + "version": "0.5", + "description": "LCD-write-open-4-bits: Controlador de escritura, en bucle abierto, de un LCD con interfaz de 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22438.076%22%20height=%22365.454%22%20viewBox=%220%200%20115.90764%2096.692992%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.195%22%20y=%22135.949%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-47.487%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.195%22%20y=%22135.949%22%20font-weight=%22700%22%3EWrite%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1661596668464 }, "design": { "graph": { "blocks": [ { - "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 104, - "y": -40 + "x": 16, + "y": 192 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 552, - "y": 48 + "x": 168, + "y": 192 } }, { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", + "id": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", + "type": "basic.outputLabel", "data": { - "name": "" + "name": "busy1", + "blockColor": "navy" }, "position": { - "x": 96, - "y": 56 + "x": 2224, + "y": 216 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", + "type": "basic.output", "data": { - "name": "" + "name": "busy" }, "position": { - "x": 96, - "y": 128 + "x": 2504, + "y": 232 } }, { - "id": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 248, - "y": -24 + "id": "7edcb2e6-845a-4962-8ab0-641c69016f91", + "type": "basic.inputLabel", + "data": { + "name": "busy1", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1232, + "y": 280 } }, { - "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 408, - "y": 48 + "id": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 208, + "y": 280 } - } - ], - "wires": [ + }, { - "source": { - "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", - "port": "out" + "id": "86d9a58e-ca48-482d-ac27-4e74598bcf86", + "type": "basic.outputLabel", + "data": { + "name": "busy2", + "blockColor": "navy" }, - "target": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 2224, + "y": 288 } }, { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "id": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1824, + "y": 304 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "rs", + "clock": false }, - "target": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 16, + "y": 344 } }, { - "source": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "type": "basic.inputLabel", + "data": { + "name": "rs_r", + "blockColor": "fuchsia" }, - "target": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 488, + "y": 344 } }, { - "source": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", + "type": "basic.inputLabel", + "data": { + "name": "n", + "blockColor": "fuchsia" }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "position": { + "x": 1256, + "y": 344 } - } - ] - } - } - }, - "ed50bc004101bfcc4a4c396b8e7aed50cde543ac": { - "package": { - "name": "Mayor-que-igual-1-op-3-bits", - "version": "0.2", - "description": "Comparador mayor que o igual, de un operando de 3 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.197%22%20height=%22366.876%22%20viewBox=%220%200%2088.95201%2097.069235%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2249.696%22%20y=%22150.143%22%20font-size=%22132.193%22%20stroke-width=%22.078%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3Ctspan%20x=%2249.696%22%20y=%22150.143%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.282%22%3E>%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22158.289%22%20y=%22125.35%22%20font-size=%2267.97%22%20stroke-width=%22.04%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3C/text%3E%3Cpath%20d=%22M2.332%2090.88L86.62%2059.13%22%20stroke=%22#00f%22%20stroke-width=%2213.229%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "type": "basic.output", + "id": "32ea078b-5ccf-483a-94f1-796e824507af", + "type": "basic.inputLabel", "data": { - "name": "" + "name": "busy2", + "blockColor": "navy" }, "position": { - "x": 616, - "y": 160 + "x": 2128, + "y": 344 } }, { - "id": "3baedf81-c82e-4ab2-a5ee-86c786996c27", - "type": "basic.input", + "id": "03fc360b-deb7-410b-932d-6a0c1483e59a", + "type": "basic.outputLabel", "data": { - "name": "a", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 152, - "y": 160 + "x": 912, + "y": 352 } }, { - "id": "437fac17-b8b4-4d9f-8d03-27300b3b9466", - "type": "basic.constant", + "id": "cfcc0b47-61df-492d-a714-23f2a893f112", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "1", - "local": false + "name": "write", + "blockColor": "red" }, "position": { - "x": 408, - "y": 48 + "x": 216, + "y": 392 } }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", - "type": "basic.code", + "id": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", + "type": "basic.inputLabel", "data": { - "ports": { - "in": [ - { - "name": "a", - "range": "[2:0]", - "size": 3 - } - ], - "out": [ - { - "name": "eq" - } - ] - }, - "params": [ - { - "name": "B" - } - ], - "code": "assign eq = (a >= B);" + "name": "done", + "blockColor": "darkgreen" }, "position": { - "x": 344, - "y": 160 - }, - "size": { - "width": 224, - "height": 64 + "x": 2120, + "y": 408 } - } - ], - "wires": [ + }, { - "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "id": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", + "type": "basic.inputLabel", + "data": { + "name": "exec", + "blockColor": "fuchsia" }, - "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "port": "in" + "position": { + "x": 1256, + "y": 416 } }, { - "source": { - "block": "437fac17-b8b4-4d9f-8d03-27300b3b9466", - "port": "constant-out" + "id": "898c25f6-64df-45d5-bc9f-94412367953a", + "type": "basic.outputLabel", + "data": { + "name": "write", + "blockColor": "red" }, - "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "B" + "position": { + "x": 912, + "y": 416 } }, { - "source": { - "block": "3baedf81-c82e-4ab2-a5ee-86c786996c27", - "port": "out" - }, - "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" - }, - "size": 3 - } - ] - } - } - }, - "2ae6c6f4418c0c187b3627376225fc4f7442bc3b": { - "package": { - "name": "Bus8-Join-6-2", - "version": "0.1", - "description": "Bus8-Join-6-2: Join the two buses into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", - "type": "basic.input", + "id": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", + "type": "basic.outputLabel", "data": { - "name": "1", - "range": "[5:0]", - "clock": false, - "size": 6 + "name": "done1", + "blockColor": "darkgreen" }, "position": { - "x": 128, - "y": 136 + "x": 1816, + "y": 432 } }, { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "id": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "rs" }, "position": { - "x": 640, - "y": 200 + "x": 2496, + "y": 456 } }, { - "id": "d27f1697-8fc0-4125-9747-9691b098cb29", - "type": "basic.input", + "id": "0b152bec-c71e-42a7-956c-edc6b424e894", + "type": "basic.outputLabel", "data": { - "name": "0", - "range": "[1:0]", - "clock": false, - "size": 2 + "name": "rs_r", + "blockColor": "fuchsia" }, "position": { - "x": 136, - "y": 224 + "x": 2352, + "y": 456 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", + "type": "basic.outputLabel", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[5:0]", - "size": 6 - }, - { - "name": "i0", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } + "name": "next1", + "blockColor": "springgreen" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 + "x": 912, + "y": 480 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 }, { - "source": { - "block": "d27f1697-8fc0-4125-9747-9691b098cb29", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "id": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": 2 + "position": { + "x": 176, + "y": 488 + } }, { - "source": { - "block": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "id": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", + "type": "basic.inputLabel", + "data": { + "name": "done1", + "blockColor": "darkgreen" }, - "size": 6 - } - ] - } - } - }, - "a5ad63c4387b9d7a9548afddbc8a9787b9328790": { - "package": { - "name": "6-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 6-bits generic constant (0-63)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1232, + "y": 496 + } + }, { - "id": "72bdb170-f2b3-4c77-a258-db39a7bef38d", + "id": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", "type": "basic.output", "data": { - "name": "", - "range": "[5:0]", - "size": 6 + "name": "E" }, "position": { - "x": 944, - "y": 248 + "x": 2496, + "y": 536 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "E", + "blockColor": "fuchsia" }, "position": { - "x": 728, - "y": 128 + "x": 2352, + "y": 536 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "03e28265-5f95-42d6-8c5f-78975349b9c4", + "type": "basic.input", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[5:0]", - "size": 6 - } - ] - } + "name": "cmd", + "clock": false }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 + "x": 24, + "y": 552 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", + "type": "basic.inputLabel", + "data": { + "name": "cmd_r", + "blockColor": "fuchsia" }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 456, + "y": 552 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "72bdb170-f2b3-4c77-a258-db39a7bef38d", - "port": "in" + "id": "13281d99-cb79-42f7-9154-adffebe3cee1", + "type": "basic.inputLabel", + "data": { + "name": "E", + "blockColor": "fuchsia" }, - "size": 6 - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1720, + "y": 568 + } + }, { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", + "id": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "type": "basic.outputLabel", "data": { - "name": "1", - "clock": false + "name": "write", + "blockColor": "red" }, "position": { - "x": 112, - "y": 144 + "x": 176, + "y": 592 } }, { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", + "id": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", "type": "basic.output", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "RW" }, "position": { - "x": 584, - "y": 200 + "x": 2496, + "y": 624 } }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", + "id": "12de363e-fd6c-452a-9a94-67dccb675678", + "type": "basic.outputLabel", "data": { - "name": "0", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 112, - "y": 256 + "x": 1392, + "y": 632 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", + "type": "basic.outputLabel", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } + "name": "exec", + "blockColor": "fuchsia" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 + "x": 1256, + "y": 672 } - } - ], - "wires": [ + }, { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" + "id": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "position": { + "x": 1808, + "y": 672 } }, { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "id": "2a05841b-3123-4bbe-899a-46326eb04b58", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "position": { + "x": 24, + "y": 688 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "id": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", "type": "basic.output", "data": { - "name": "" + "name": "D", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 960, - "y": 248 + "x": 2496, + "y": 720 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "data", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 }, "position": { - "x": 728, - "y": 128 + "x": 2352, + "y": 720 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "971101bb-8f08-4f78-bfea-840f1b628efb", + "type": "basic.inputLabel", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } + "name": "next1", + "blockColor": "springgreen" }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "x": 2096, + "y": 744 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "370abb401e524efd3125464b094b41328bfde9b4": { - "package": { - "name": "DeMux-1-2", - "version": "0.1", - "description": "1-to-2 DeMultplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "id": "6edc0efe-9a68-434e-994d-9c4570f5e01d", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "name": "n", + "blockColor": "fuchsia" }, "position": { - "x": 744, - "y": 368 + "x": 960, + "y": 744 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 136, - "y": 416 + "x": 184, + "y": 800 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 272, - "y": 416 + "x": 1088, + "y": 824 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "id": "fe696950-4552-47bb-8027-c7a9928bde98", "type": "basic.output", "data": { - "name": "1" + "name": "done" }, "position": { - "x": 1032, - "y": 424 + "x": 2496, + "y": 832 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", + "id": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "sel", - "oldBlockColor": "fuchsia" + "name": "done", + "blockColor": "darkgreen" }, "position": { - "x": 272, - "y": 528 + "x": 2352, + "y": 832 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "id": "00289418-0491-4aa5-9cfd-6213c65a42db", "type": "basic.input", "data": { - "name": "sel", - "clock": false + "name": "din", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 136, - "y": 528 + "x": 24, + "y": 856 } }, { - "id": "6daf7ae7-6704-44ee-b291-2e8188102286", - "type": "basic.outputLabel", + "id": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "name": "data", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 }, "position": { - "x": 752, - "y": 544 + "x": 872, + "y": 872 } }, { - "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", - "type": "basic.output", + "id": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "type": "basic.outputLabel", "data": { - "name": "0" + "name": "write", + "blockColor": "red" }, "position": { - "x": 1040, - "y": 600 + "x": 184, + "y": 904 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "id": "ba501b69-1201-439c-902b-aa016a9c50d6", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "sel", - "oldBlockColor": "fuchsia" + "name": "n", + "blockColor": "fuchsia" }, "position": { - "x": 464, - "y": 648 + "x": 384, + "y": 960 } }, { - "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", + "type": "basic.input", + "data": { + "name": "write", + "clock": false + }, "position": { - "x": 888, - "y": 424 + "x": 32, + "y": 1032 + } + }, + { + "id": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", + "type": "basic.inputLabel", + "data": { + "name": "write", + "blockColor": "red" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 176, + "y": 1032 } }, { - "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12000000", + "local": false + }, "position": { - "x": 624, - "y": 648 + "x": 1528, + "y": 248 + } + }, + { + "id": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "5", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1712, + "y": 264 } }, { - "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 888, - "y": 600 + "x": 2352, + "y": 624 }, "size": { "width": 96, "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "b2229d0a-2a9f-4aae-a5bf-4b3da4121a0a", + "type": "basic.info", + "data": { + "info": "Registrar la entrada rs, \npara que permanezca estable \ndurante todo el funcionamiento", + "readonly": true }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" + "position": { + "x": 480, + "y": 272 + }, + "size": { + "width": 272, + "height": 64 } }, { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "id": "35138706-f2b6-45c0-95ac-608c9ae67561", + "type": "basic.info", + "data": { + "info": "Registrar el dato, \npara que permanezca estable \ndurante todo el funcionamiento", + "readonly": true }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "position": { + "x": 256, + "y": 728 + }, + "size": { + "width": 256, + "height": 64 } }, { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "id": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "type": "cd03feae103824c95f164b3d6e9ee634e331304a", + "position": { + "x": 728, + "y": 856 }, - "target": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 96 } }, { - "source": { - "block": "6daf7ae7-6704-44ee-b291-2e8188102286", - "port": "outlabel" + "id": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 528, + "y": 856 }, - "target": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" + "id": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 512, + "y": 960 }, - "target": { - "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "id": "4324ff32-d664-44dc-a015-df00563b350c", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1720, + "y": 744 }, - "vertices": [ - { - "x": 584, - "y": 544 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1392, + "y": 744 }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1232, + "y": 760 }, - "target": { - "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 1088, + "y": 744 }, - "target": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } - } - ] - } - } - }, - "09be4222bca27dda5ca84bf0f48ba2c5c1df2122": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", + "id": "4f34528f-02c0-4332-9ed9-ebfbf8f1af64", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "a" + "info": "| n | cmd | sel |\n|----|-----|-----|\n| 0 | 0 | 1 |\n| 0 | 1 | 1 |\n| 1 | 0 | 0 |\n| 1 | 1 | 1 |\n", + "readonly": true }, "position": { - "x": 456, - "y": 360 - } + "x": 1120, + "y": 896 + }, + "size": { + "width": 176, + "height": 120 + } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", + "id": "e1e787ec-486a-4f86-ab07-e9065d096544", + "type": "basic.info", "data": { - "name": "" + "info": "--> Caso especial", + "readonly": true }, "position": { - "x": 1096, - "y": 392 + "x": 1280, + "y": 1000 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "ad96067c-ce11-459a-b40c-8f87ce00d25e", + "type": "basic.info", "data": { - "name": "1", - "clock": false + "info": "* n=0: Nibble más significativo seleccionado \n* n=1: Nibble menos significativo seleccionado", + "readonly": true }, "position": { - "x": 136, - "y": 416 + "x": 680, + "y": 976 + }, + "size": { + "width": 424, + "height": 56 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "5ea960a4-32c0-403f-b96b-b37521275613", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "a" + "info": "Máquina de contar: n=0, n=1 \n* Durante n=0 se envía el nibble más significativo \n* Durante n=1 se envía el menos significativo SI ES UN COMANDO normal (cmd=1). En \n caso de ser uno de inicialización durante n=1 no se envía nada", + "readonly": true }, "position": { - "x": 272, - "y": 416 + "x": 912, + "y": 152 + }, + "size": { + "width": 664, + "height": 88 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", + "id": "ed6e099a-955b-4e62-8b06-ab3c95c54073", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "info": "Esperar a que finalice el comando enviado \nanteriormente. El tiempo de espera debe ser \nel del COMANDO MÁS LENTO", + "readonly": true }, "position": { - "x": 272, - "y": 528 + "x": 1848, + "y": 232 + }, + "size": { + "width": 352, + "height": 72 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", + "id": "4c538f3e-41f0-49a8-a6e9-38ab2e50986b", + "type": "basic.info", "data": { - "name": "0", - "clock": false + "info": "Ejecutar un ciclo en para cada nibble...\nCuando n es 1 y es un comando de \ninicializacion (cmd=0) entonces ese \nnibble NO SE MANDA", + "readonly": true }, "position": { - "x": 136, - "y": 528 + "x": 1448, + "y": 832 + }, + "size": { + "width": 328, + "height": 88 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", + "id": "424c7cf5-9429-42d9-8f51-b7a0507c3249", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "info": "Este biestable D es necesario \npara evitar los bucles combinacionales ", + "readonly": true }, "position": { - "x": 616, - "y": 552 + "x": 1936, + "y": 824 + }, + "size": { + "width": 336, + "height": 64 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "f9417f9d-e133-4f65-9505-07817dea56bd", + "type": "basic.info", "data": { - "name": "sel", - "clock": false + "info": "Cmd indica el tipo de comando:\n* cmd=0: Es un valor especial de \n inicializacion (Un único nibble)\n* cmd=1: Es un comando/dato normal \n (Formado por 2 nibbles)", + "readonly": true }, "position": { - "x": 376, - "y": 656 + "x": 568, + "y": 512 + }, + "size": { + "width": 328, + "height": 96 } }, { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "id": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 952, - "y": 392 + "x": 2360, + "y": 232 }, "size": { "width": 96, @@ -15859,11 +14918,11 @@ } }, { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 600, - "y": 376 + "x": 1952, + "y": 728 }, "size": { "width": 96, @@ -15871,23 +14930,83 @@ } }, { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "type": "7a14f6c2a644698df43fed380c788d3db5f1da49", "position": { - "x": 624, - "y": 656 + "x": 1552, + "y": 648 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "type": "a9cd03ae467d8a8ae5c39a91ed8fd8478be8ba8d", "position": { - "x": 784, - "y": 568 + "x": 1064, + "y": 368 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "type": "8a23ffd0491010ff595493182b82c722bd6902d7", + "position": { + "x": 344, + "y": 328 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "type": "8a23ffd0491010ff595493182b82c722bd6902d7", + "position": { + "x": 312, + "y": 536 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "type": "8d66187a7f8e3ffab330c0980473cb3427f8a5a6", + "position": { + "x": 320, + "y": 840 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "004fb528-358f-41ba-a654-f687ad3f659a", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 1960, + "y": 360 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 1688, + "y": 376 }, "size": { "width": 96, @@ -15898,1050 +15017,941 @@ "wires": [ { "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "block": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", "port": "outlabel" }, "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", + "port": "in" }, "vertices": [] }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", "port": "out" }, "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "block": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", "port": "inlabel" } }, { "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "block": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "port": "outlabel" }, "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "block": "fe696950-4552-47bb-8027-c7a9928bde98", + "port": "in" } }, { "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "port": "outlabel" }, "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } }, { "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "port": "outlabel" }, "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" + } }, { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "cfcc0b47-61df-492d-a714-23f2a893f112", + "port": "outlabel" }, "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" }, "vertices": [] }, { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "port": "outlabel" }, "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" }, "vertices": [] }, { "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "port": "inlabel" + } + }, + { + "source": { + "block": "0b152bec-c71e-42a7-956c-edc6b424e894", + "port": "outlabel" }, - "vertices": [] + "target": { + "block": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", + "port": "in" + } }, { "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "port": "outlabel" }, "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" }, "vertices": [] - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "port": "outlabel" }, - "position": { - "x": 456, - "y": 120 - } + "target": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + }, + "vertices": [] }, { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 168, - "y": 112 + "target": { + "block": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", + "port": "inlabel" }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c", + "size": 4 }, "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "2b9b8c7b12f595d67c236787e6f8d9426571540d": { - "package": { - "name": "Bus3-Join-all", - "version": "0.1", - "description": "Bus3-Join-all: Joint three wires into a 3-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false + "block": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "port": "inlabel" }, - "position": { - "x": 120, - "y": 120 - } + "vertices": [], + "size": 4 }, { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false + "source": { + "block": "ba501b69-1201-439c-902b-aa016a9c50d6", + "port": "outlabel" }, - "position": { - "x": 120, - "y": 200 - } + "target": { + "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "a7b70668-43df-4c7f-8da5-7076008e97bd", - "type": "basic.output", - "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "source": { + "block": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "port": "outlabel" }, - "position": { - "x": 656, - "y": 200 - } + "target": { + "block": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", + "port": "in", + "size": 4 + }, + "size": 4 }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false + "source": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" }, - "position": { - "x": 120, - "y": 272 - } + "target": { + "block": "13281d99-cb79-42f7-9154-adffebe3cee1", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[2:0]", - "size": 3 - } - ] - } + "source": { + "block": "6edc0efe-9a68-434e-994d-9c4570f5e01d", + "port": "outlabel" }, - "position": { - "x": 296, - "y": 176 + "target": { + "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } + "block": "971101bb-8f08-4f78-bfea-840f1b628efb", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "block": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", + "port": "outlabel" }, "target": { - "block": "a7b70668-43df-4c7f-8da5-7076008e97bd", - "port": "in" + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": 3 + "vertices": [] }, { "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" + "block": "12de363e-fd6c-452a-9a94-67dccb675678", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - } - } - ] - } - } - }, - "619049c51d21132600a99f750206d8efd256613a": { - "package": { - "name": "LCD-write-open-4bits", - "version": "0.2", - "description": "Controlador de escritura, en bucle abierto, de un LCD con interfaz de 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22438.076%22%20height=%22365.454%22%20viewBox=%220%200%20115.90764%2096.692992%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.195%22%20y=%22135.949%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-47.487%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.195%22%20y=%22135.949%22%20font-weight=%22700%22%3EWrite%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1661596668464 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 16, - "y": 192 + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 192 + "target": { + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { - "id": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", - "type": "basic.outputLabel", - "data": { - "name": "busy1", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", + "port": "outlabel" }, - "position": { - "x": 2224, - "y": 216 - } + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + }, + "vertices": [] }, { - "id": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", - "type": "basic.output", - "data": { - "name": "busy" + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0" }, - "position": { - "x": 2504, - "y": 232 - } + "target": { + "block": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "7edcb2e6-845a-4962-8ab0-641c69016f91", - "type": "basic.inputLabel", - "data": { - "name": "busy1", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" }, - "position": { - "x": 1232, - "y": 280 - } + "target": { + "block": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "898c25f6-64df-45d5-bc9f-94412367953a", + "port": "outlabel" }, - "position": { - "x": 208, - "y": 280 + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "86d9a58e-ca48-482d-ac27-4e74598bcf86", - "type": "basic.outputLabel", - "data": { - "name": "busy2", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "03fc360b-deb7-410b-932d-6a0c1483e59a", + "port": "outlabel" }, - "position": { - "x": 2224, - "y": 288 + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rs", - "clock": false + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 16, - "y": 344 + "target": { + "block": "7edcb2e6-845a-4962-8ab0-641c69016f91", + "port": "inlabel" } }, { - "id": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", - "type": "basic.inputLabel", - "data": { - "name": "rs_r", - "blockColor": "fuchsia" + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" }, - "position": { - "x": 488, - "y": 344 + "target": { + "block": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", + "port": "inlabel" } }, { - "id": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", - "type": "basic.inputLabel", - "data": { - "name": "n", - "blockColor": "fuchsia" + "source": { + "block": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", + "port": "outlabel" }, - "position": { - "x": 1256, - "y": 344 + "target": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "32ea078b-5ccf-483a-94f1-796e824507af", - "type": "basic.inputLabel", - "data": { - "name": "busy2", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "86d9a58e-ca48-482d-ac27-4e74598bcf86", + "port": "outlabel" }, - "position": { - "x": 2096, - "y": 344 + "target": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "03fc360b-deb7-410b-932d-6a0c1483e59a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, - "position": { - "x": 912, - "y": 352 + "target": { + "block": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", + "port": "inlabel" } }, { - "id": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1776, - "y": 360 + "target": { + "block": "32ea078b-5ccf-483a-94f1-796e824507af", + "port": "inlabel" } }, { - "id": "cfcc0b47-61df-492d-a714-23f2a893f112", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", + "port": "outlabel" }, - "position": { - "x": 216, - "y": 392 + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" } }, { - "id": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", - "type": "basic.inputLabel", - "data": { - "name": "exec", - "blockColor": "fuchsia" + "source": { + "block": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", + "port": "outlabel" }, - "position": { - "x": 1256, - "y": 416 + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { - "id": "898c25f6-64df-45d5-bc9f-94412367953a", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, - "position": { - "x": 912, - "y": 416 - } + "target": { + "block": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", + "port": "in" + }, + "vertices": [] }, { - "id": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", - "type": "basic.inputLabel", - "data": { - "name": "done", - "blockColor": "darkgreen" + "source": { + "block": "00289418-0491-4aa5-9cfd-6213c65a42db", + "port": "out" }, - "position": { - "x": 2064, - "y": 432 - } + "target": { + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb" + }, + "size": 8 }, { - "id": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", - "type": "basic.outputLabel", - "data": { - "name": "done1", - "blockColor": "darkgreen" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 1776, - "y": 432 + "target": { + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", - "type": "basic.output", - "data": { - "name": "rs" + "source": { + "block": "03e28265-5f95-42d6-8c5f-78975349b9c4", + "port": "out" }, - "position": { - "x": 2496, - "y": 456 + "target": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "0b152bec-c71e-42a7-956c-edc6b424e894", - "type": "basic.outputLabel", - "data": { - "name": "rs_r", - "blockColor": "fuchsia" + "source": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58" }, - "position": { - "x": 2352, - "y": 456 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d" + }, + "vertices": [], + "size": 4 }, { - "id": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", - "type": "basic.outputLabel", - "data": { - "name": "next1", - "blockColor": "springgreen" + "source": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" }, - "position": { - "x": 912, - "y": 480 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5" + }, + "vertices": [], + "size": 4 }, { - "id": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 176, - "y": 488 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [ + { + "x": 648, + "y": 952 + } + ] }, { - "id": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", - "type": "basic.inputLabel", - "data": { - "name": "done1", - "blockColor": "darkgreen" + "source": { + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, - "position": { - "x": 1232, - "y": 496 - } + "target": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", - "type": "basic.output", - "data": { - "name": "E" + "source": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" }, - "position": { - "x": 2496, - "y": 536 - } + "target": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", - "type": "basic.outputLabel", - "data": { - "name": "E", - "blockColor": "fuchsia" + "source": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 2352, - "y": 536 - } + "target": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + }, + "vertices": [] }, { - "id": "03e28265-5f95-42d6-8c5f-78975349b9c4", - "type": "basic.input", - "data": { - "name": "cmd", - "clock": false + "source": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, - "position": { - "x": 24, - "y": 552 - } + "target": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", - "type": "basic.inputLabel", - "data": { - "name": "cmd_r", - "blockColor": "fuchsia" + "source": { + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 456, - "y": 552 - } + "target": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] }, { - "id": "13281d99-cb79-42f7-9154-adffebe3cee1", - "type": "basic.inputLabel", - "data": { - "name": "E", - "blockColor": "fuchsia" + "source": { + "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1720, - "y": 568 - } + "target": { + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 176, - "y": 592 - } + "target": { + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] }, { - "id": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", - "type": "basic.output", - "data": { - "name": "RW" + "source": { + "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "port": "constant-out" }, - "position": { - "x": 2496, - "y": 624 + "target": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "045df3aa-4fcf-49bc-8799-99cbe403ee17" } }, { - "id": "12de363e-fd6c-452a-9a94-67dccb675678", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1392, - "y": 632 + "target": { + "block": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", + "port": "in" } }, { - "id": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", - "type": "basic.outputLabel", - "data": { - "name": "exec", - "blockColor": "fuchsia" + "source": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" }, - "position": { - "x": 1256, - "y": 672 - } + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c" + }, + "size": 32 }, { - "id": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", + "port": "constant-out" }, - "position": { - "x": 1808, - "y": 672 + "target": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" } }, { - "id": "2a05841b-3123-4bbe-899a-46326eb04b58", - "type": "basic.input", + "source": { + "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "port": "constant-out" + }, + "target": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + } + ] + } + } + }, + "cd03feae103824c95f164b3d6e9ee634e331304a": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", "data": { - "name": "nc", - "clock": false + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 24, - "y": 688 + "x": 712, + "y": -72 } }, { - "id": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "type": "basic.output", + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", "data": { - "name": "D", - "range": "[3:0]", - "size": 4 + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 2496, - "y": 720 + "x": 712, + "y": 0 } }, { - "id": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", "type": "basic.outputLabel", "data": { - "name": "data", - "range": "[3:0]", "blockColor": "navy", - "size": 4 + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2352, - "y": 720 + "x": 712, + "y": 72 } }, { - "id": "971101bb-8f08-4f78-bfea-840f1b628efb", - "type": "basic.inputLabel", + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", "data": { - "name": "next1", - "blockColor": "springgreen" + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2096, - "y": 744 + "x": 712, + "y": 160 } }, { - "id": "6edc0efe-9a68-434e-994d-9c4570f5e01d", - "type": "basic.outputLabel", + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", "data": { - "name": "n", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 960, - "y": 744 + "x": 432, + "y": 160 } }, { - "id": "4932e1f7-13c1-43c4-b358-1e554f295e5c", - "type": "basic.outputLabel", + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "fuchsia", + "name": "A2", "oldBlockColor": "fuchsia" }, "position": { - "x": 184, - "y": 800 + "x": 440, + "y": 224 } }, { - "id": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", "type": "basic.outputLabel", "data": { - "name": "cmd", - "blockColor": "fuchsia" + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, "position": { - "x": 1088, - "y": 824 + "x": 712, + "y": 232 } }, { - "id": "fe696950-4552-47bb-8027-c7a9928bde98", - "type": "basic.output", + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", "data": { - "name": "done" + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 2496, - "y": 832 + "x": 120, + "y": 240 } }, { - "id": "70e266d3-1d21-40c4-bd23-f05d89431eb1", - "type": "basic.outputLabel", + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", "data": { - "name": "done", - "blockColor": "darkgreen" + "blockColor": "fuchsia", + "name": "A1" }, "position": { - "x": 2352, - "y": 832 + "x": 432, + "y": 296 } }, { - "id": "00289418-0491-4aa5-9cfd-6213c65a42db", - "type": "basic.input", + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", "data": { - "name": "din", - "range": "[7:0]", - "clock": false, - "size": 8 + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 24, - "y": 856 + "x": 712, + "y": 304 } }, { - "id": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", "type": "basic.inputLabel", "data": { - "name": "data", - "range": "[3:0]", - "blockColor": "navy", - "size": 4 + "blockColor": "fuchsia", + "name": "A0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 872, - "y": 872 + "x": 416, + "y": 360 } }, { - "id": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", "type": "basic.outputLabel", "data": { - "name": "write", - "blockColor": "red" + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 184, - "y": 904 + "x": 712, + "y": 400 } }, { - "id": "ba501b69-1201-439c-902b-aa016a9c50d6", - "type": "basic.outputLabel", + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", "data": { - "name": "n", - "blockColor": "fuchsia" + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 384, - "y": 960 + "x": 1256, + "y": 416 } }, { - "id": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", - "type": "basic.input", + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", "data": { - "name": "write", - "clock": false + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 32, - "y": 1032 + "x": 456, + "y": 464 } }, { - "id": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", - "type": "basic.inputLabel", + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", "data": { - "name": "write", - "blockColor": "red" + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" }, "position": { - "x": 176, - "y": 1032 + "x": 712, + "y": 488 } }, { - "id": "3ef4478a-c7bc-42d3-8288-3c787548347e", - "type": "basic.constant", + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", "data": { - "name": "Fsys", - "value": "12000000", - "local": false + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, "position": { - "x": 1528, + "x": 464, "y": 528 } }, { - "id": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", - "type": "basic.constant", + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", "data": { - "name": "ms", - "value": "5", - "local": false + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 1928, - "y": 320 + "x": 120, + "y": 544 } }, { - "id": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 2352, - "y": 624 + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 712, + "y": 560 } }, { - "id": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "type": "56d27471f271f95a5d5eb660f7745d5d9329ab02", - "position": { - "x": 320, - "y": 840 + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 464, + "y": 592 } }, { - "id": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "type": "8284c1dda332813a849c5ba350042fae0ffffbbc", + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0" + }, "position": { - "x": 344, - "y": 328 + "x": 720, + "y": 648 + } + }, + { + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 432, + "y": 648 } }, { - "id": "b2229d0a-2a9f-4aae-a5bf-4b3da4121a0a", - "type": "basic.info", + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", "data": { - "info": "Registrar la entrada rs, \npara que permanezca estable \ndurante todo el funcionamiento", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 272 + "x": 720, + "y": 720 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false }, - "size": { - "width": 272, - "height": 64 + "position": { + "x": 104, + "y": 728 } }, { - "id": "35138706-f2b6-45c0-95ac-608c9ae67561", - "type": "basic.info", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", "data": { - "info": "Registrar el dato, \npara que permanezca estable \ndurante todo el funcionamiento", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 256, + "x": 264, "y": 728 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 256, - "height": 64 + "position": { + "x": 712, + "y": 800 } }, { - "id": "7320dcc7-2594-4281-b247-eca116cd825c", - "type": "8284c1dda332813a849c5ba350042fae0ffffbbc", + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 312, - "y": 536 + "x": 856, + "y": 472 }, "size": { "width": 96, @@ -16949,11 +15959,11 @@ } }, { - "id": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "type": "cd03feae103824c95f164b3d6e9ee634e331304a", + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 728, - "y": 856 + "x": 864, + "y": 704 }, "size": { "width": 96, @@ -16961,4857 +15971,4533 @@ } }, { - "id": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, "position": { - "x": 528, - "y": 856 + "x": 304, + "y": 128 }, "size": { - "width": 96, - "height": 64 + "width": 144, + "height": 32 } }, { - "id": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, "position": { - "x": 512, - "y": 960 + "x": 304, + "y": 480 }, "size": { - "width": 96, - "height": 64 + "width": 144, + "height": 32 } }, { - "id": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "type": "2e6e3c0a3394cfbe15d739c75bdd7911331e95a2", + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1552, - "y": 648 + "x": 856, + "y": 216 }, "size": { "width": 96, - "height": 128 + "height": 96 } }, { - "id": "4324ff32-d664-44dc-a015-df00563b350c", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1720, - "y": 744 + "x": 1040, + "y": 384 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "type": "370abb401e524efd3125464b094b41328bfde9b4", + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1392, - "y": 744 + "x": 856, + "y": -16 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1232, - "y": 760 + "x": 288, + "y": 512 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1088, - "y": 744 + "x": 280, + "y": 208 }, "size": { "width": 96, - "height": 64 + "height": 128 } - }, + } + ], + "wires": [ { - "id": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "type": "85dc1f75bb2706cba6e6c504fec08ea7c5195798", - "position": { - "x": 1952, - "y": 728 + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" } }, { - "id": "4f34528f-02c0-4332-9ed9-ebfbf8f1af64", - "type": "basic.info", - "data": { - "info": "| n | cmd | sel |\n|----|-----|-----|\n| 0 | 0 | 1 |\n| 0 | 1 | 1 |\n| 1 | 0 | 0 |\n| 1 | 1 | 1 |\n", - "readonly": true - }, - "position": { - "x": 1120, - "y": 896 + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, - "size": { - "width": 176, - "height": 120 + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "e1e787ec-486a-4f86-ab07-e9065d096544", - "type": "basic.info", - "data": { - "info": "--> Caso especial", - "readonly": true + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, - "position": { - "x": 1280, - "y": 1000 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "type": "f91a2729e24bdc5bb7370785202c65c6526d7a01", - "position": { - "x": 1928, - "y": 416 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "type": "5130094eb1e58828b8c072275acd105cee6a71ac", - "position": { - "x": 1064, - "y": 368 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ad96067c-ce11-459a-b40c-8f87ce00d25e", - "type": "basic.info", - "data": { - "info": "* n=0: Nibble más significativo seleccionado \n* n=1: Nibble menos significativo seleccionado", - "readonly": true - }, - "position": { - "x": 680, - "y": 976 - }, - "size": { - "width": 424, - "height": 56 - } - }, - { - "id": "5ea960a4-32c0-403f-b96b-b37521275613", - "type": "basic.info", - "data": { - "info": "Máquina de contar: n=0, n=1 \n* Durante n=0 se envía el nibble más significativo \n* Durante n=1 se envía el menos significativo SI ES UN COMANDO normal (cmd=1). En \n caso de ser uno de inicialización durante n=1 no se envía nada", - "readonly": true - }, - "position": { - "x": 912, - "y": 152 - }, - "size": { - "width": 664, - "height": 88 - } - }, - { - "id": "ed6e099a-955b-4e62-8b06-ab3c95c54073", - "type": "basic.info", - "data": { - "info": "Esperar a que finalice el comando enviado \nanteriormente. El tiempo de espera debe ser \nel del COMANDO MÁS LENTO", - "readonly": true - }, - "position": { - "x": 1808, - "y": 232 - }, - "size": { - "width": 352, - "height": 72 + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "4c538f3e-41f0-49a8-a6e9-38ab2e50986b", - "type": "basic.info", - "data": { - "info": "Ejecutar un ciclo en para cada nibble...\nCuando n es 1 y es un comando de \ninicializacion (cmd=0) entonces ese \nnibble NO SE MANDA", - "readonly": true - }, - "position": { - "x": 1448, - "y": 832 + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 328, - "height": 88 + "target": { + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "port": "inlabel" } }, { - "id": "424c7cf5-9429-42d9-8f51-b7a0507c3249", - "type": "basic.info", - "data": { - "info": "Este biestable D es necesario \npara evitar los bucles combinacionales ", - "readonly": true - }, - "position": { - "x": 1936, - "y": 824 + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 336, - "height": 64 + "target": { + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "port": "inlabel" } }, { - "id": "f9417f9d-e133-4f65-9505-07817dea56bd", - "type": "basic.info", - "data": { - "info": "Cmd indica el tipo de comando:\n* cmd=0: Es un valor especial de \n inicializacion (Un único nibble)\n* cmd=1: Es un comando/dato normal \n (Formado por 2 nibbles)", - "readonly": true - }, - "position": { - "x": 568, - "y": 512 + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 328, - "height": 96 + "target": { + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "port": "inlabel" } }, - { - "id": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 2360, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", "port": "outlabel" }, "target": { - "block": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", - "port": "in" - }, - "vertices": [] + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", - "port": "out" + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" }, "target": { - "block": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", - "port": "inlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", "port": "outlabel" }, "target": { - "block": "fe696950-4552-47bb-8027-c7a9928bde98", - "port": "in" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "block": "ea1e0257-805a-4562-9264-66dd07a08022", "port": "outlabel" }, "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", "port": "outlabel" }, "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { "source": { - "block": "cfcc0b47-61df-492d-a714-23f2a893f112", + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", "port": "outlabel" }, "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", "port": "outlabel" }, "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", "port": "inlabel" } }, { "source": { - "block": "0b152bec-c71e-42a7-956c-edc6b424e894", - "port": "outlabel" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", - "port": "in" + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "port": "inlabel" } }, { "source": { - "block": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", "port": "outlabel" }, "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, "vertices": [] }, { "source": { - "block": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", "port": "outlabel" }, "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "port": "outlabel" }, "target": { - "block": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", - "port": "inlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c", - "size": 4 + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", "port": "inlabel" - }, - "vertices": [], - "size": 4 + } }, { "source": { - "block": "ba501b69-1201-439c-902b-aa016a9c50d6", - "port": "outlabel" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "port": "inlabel" + } }, { "source": { - "block": "ece94612-a00f-4f4f-a719-88e26d3115d5", - "port": "outlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "port": "in", - "size": 4 + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" }, - "size": 4 + "vertices": [ + { + "x": 984, + "y": 472 + } + ] }, { "source": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "13281d99-cb79-42f7-9154-adffebe3cee1", - "port": "inlabel" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } }, { "source": { - "block": "6edc0efe-9a68-434e-994d-9c4570f5e01d", - "port": "outlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "vertices": [] + "vertices": [ + { + "x": 976, + "y": 352 + } + ] }, { "source": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, "target": { - "block": "971101bb-8f08-4f78-bfea-840f1b628efb", - "port": "inlabel" + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", - "port": "outlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } }, { "source": { - "block": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", - "port": "outlabel" + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" }, "target": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "12de363e-fd6c-452a-9a94-67dccb675678", - "port": "outlabel" + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" }, "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + } + ] + } + } + }, + "7a14f6c2a644698df43fed380c788d3db5f1da49": { + "package": { + "name": "LCD-cycle-ns", + "version": "0.8", + "description": "LCD-cycle-ns: Generar un ciclo en la señal E del LCD", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", + "otid": 1658901385846 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 288, + "y": -184 } }, { - "source": { - "block": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", - "port": "outlabel" + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "position": { + "x": 440, + "y": -184 } }, { - "source": { - "block": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", - "port": "outlabel" - }, - "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" }, - "vertices": [] + "position": { + "x": 1608, + "y": -168 + } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0" - }, - "target": { - "block": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", - "port": "inlabel" + "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "vertices": [] + "position": { + "x": 1464, + "y": -168 + } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" - }, - "target": { - "block": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", - "port": "inlabel" + "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "vertices": [] + "position": { + "x": 288, + "y": -80 + } }, { - "source": { - "block": "898c25f6-64df-45d5-bc9f-94412367953a", - "port": "outlabel" + "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "type": "basic.output", + "data": { + "name": "E" }, - "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1616, + "y": -72 } }, { - "source": { - "block": "03fc360b-deb7-410b-932d-6a0c1483e59a", - "port": "outlabel" + "id": "a79604fd-0250-4441-a526-65bed00007ba", + "type": "basic.outputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" }, - "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1472, + "y": -72 } }, { - "source": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "target": { - "block": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", - "port": "inlabel" + "position": { + "x": 1320, + "y": -64 } }, { - "source": { - "block": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", - "port": "outlabel" + "id": "4257b3cb-7350-44c5-85e5-b268731c9403", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6" + "position": { + "x": 1000, + "y": 0 } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "b9e6bf41-98e2-4422-aeb7-f4a9b6332f20", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "7edcb2e6-845a-4962-8ab0-641c69016f91", - "port": "inlabel" + "position": { + "x": 288, + "y": 0 } }, { - "source": { - "block": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", - "port": "outlabel" + "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "type": "basic.inputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "61d11f03-6fbc-4467-a947-d546fa6f6429" + "position": { + "x": 1312, + "y": 32 } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" + "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "type": "basic.outputLabel", + "data": { + "name": "dwn", + "blockColor": "fuchsia" }, - "target": { - "block": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", - "port": "inlabel" + "position": { + "x": 1480, + "y": 32 } }, { - "source": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "c45dab76-9d0f-4742-9e4d-3048637d245d" + "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "type": "basic.output", + "data": { + "name": "dwn" }, - "target": { - "block": "32ea078b-5ccf-483a-94f1-796e824507af", - "port": "inlabel" + "position": { + "x": 1616, + "y": 32 } }, { - "source": { - "block": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", - "port": "outlabel" + "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 288, + "y": 120 } }, { - "source": { - "block": "86d9a58e-ca48-482d-ac27-4e74598bcf86", - "port": "outlabel" + "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "target": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 440, + "y": 120 } }, { - "source": { - "block": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", - "port": "in" + "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "type": "basic.inputLabel", + "data": { + "name": "dwn", + "blockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 1312, + "y": 128 + } }, { - "source": { - "block": "00289418-0491-4aa5-9cfd-6213c65a42db", - "port": "out" - }, - "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86" + "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "size": 8 + "position": { + "x": 992, + "y": 136 + } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "type": "basic.output", + "data": { + "name": "done" }, - "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1624, + "y": 144 } }, { - "source": { - "block": "03e28265-5f95-42d6-8c5f-78975349b9c4", - "port": "out" + "id": "1f410454-644a-4dd3-b626-27b642833012", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1480, + "y": 144 } }, { - "source": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d" + "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "type": "basic.inputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "vertices": [], - "size": 4 + "position": { + "x": 1288, + "y": 200 + } }, { - "source": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5" + "id": "045df3aa-4fcf-49bc-8799-99cbe403ee17", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12000000", + "local": false }, - "vertices": [], - "size": 4 + "position": { + "x": 696, + "y": -48 + } }, { - "source": { - "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", + "type": "basic.constant", + "data": { + "name": "TEns", + "value": "1000", + "local": false }, - "vertices": [ - { - "x": 648, - "y": 952 - } - ] + "position": { + "x": 840, + "y": -56 + } }, { - "source": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8" + "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", + "type": "basic.info", + "data": { + "info": "Ejecutar 1 ciclo", + "readonly": true }, - "target": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + "position": { + "x": 296, + "y": 88 }, - "size": 8 + "size": { + "width": 160, + "height": 40 + } }, { - "source": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" + "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", + "type": "basic.info", + "data": { + "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", + "readonly": true }, - "target": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1728, + "y": -208 }, - "vertices": [] + "size": { + "width": 192, + "height": 72 + } }, { - "source": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", + "type": "basic.info", + "data": { + "info": "Señal de validación de datos \npara el LCD", + "readonly": true }, - "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + "position": { + "x": 1736, + "y": -64 }, - "vertices": [] + "size": { + "width": 240, + "height": 56 + } }, { - "source": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", + "type": "basic.info", + "data": { + "info": "Ciclo completado", + "readonly": true }, - "target": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1752, + "y": 168 }, - "vertices": [] + "size": { + "width": 160, + "height": 40 + } }, { - "source": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", + "type": "basic.info", + "data": { + "info": "Flanco de bajada \nen E", + "readonly": true }, - "target": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 1744, + "y": 40 }, - "vertices": [] + "size": { + "width": 176, + "height": 48 + } }, { - "source": { - "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", + "type": "basic.info", + "data": { + "info": "No conectado", + "readonly": true }, - "target": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 424, + "y": -64 }, - "vertices": [] + "size": { + "width": 160, + "height": 40 + } }, { - "source": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", - "port": "constant-out" - }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "7ca578e5-8e36-4c04-bbea-144418c8e9af" - }, - "vertices": [] - }, - { - "source": { - "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", - "port": "constant-out" - }, - "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "045df3aa-4fcf-49bc-8799-99cbe403ee17" - } - }, - { - "source": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", - "port": "in" - } - } - ] - } - } - }, - "56d27471f271f95a5d5eb660f7745d5d9329ab02": { - "package": { - "name": "8-bits-Reg", - "version": "0.1", - "description": "8-bits register (in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", + "id": "f42025e8-8342-4454-af6c-1b1110db5751", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Periodo de E \nen ns", + "readonly": true }, "position": { - "x": 80, - "y": 176 - } - }, - { - "id": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8", - "type": "basic.output", - "data": { - "name": "q", - "range": "[7:0]", - "size": 8 + "x": 864, + "y": -112 }, - "position": { - "x": 640, - "y": 248 + "size": { + "width": 168, + "height": 56 } }, { - "id": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, + "id": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "type": "32f4dc71b91d2cfbd55c5982a436afb666f277f6", "position": { - "x": 80, - "y": 248 + "x": 816, + "y": 64 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", + "id": "21d9df80-5a5d-4544-97a9-b6c0450d6617", + "type": "basic.info", "data": { - "name": "load", - "clock": false + "info": "Frecuencia del reloj del \nsistema (en Hz)", + "readonly": true }, "position": { - "x": 80, - "y": 312 + "x": 664, + "y": -104 + }, + "size": { + "width": 208, + "height": 56 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "0f44b5f7-010b-4c79-862f-b295c8ed26c5", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "No conectado", + "readonly": true }, "position": { - "x": 376, - "y": 56 + "x": 424, + "y": 16 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[7:0]", - "size": 8 - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q", - "range": "[7:0]", - "size": 8 - } - ] - } - }, + "id": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "type": "d9f08833abf27bbc2d382e10dc5e1799abf5ff35", "position": { - "x": 280, - "y": 176 + "x": 1144, + "y": 16 }, "size": { - "width": 288, - "height": 200 + "width": 96, + "height": 128 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" + "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "port": "inlabel" } }, { "source": { - "block": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86", - "port": "out" + "block": "a79604fd-0250-4441-a526-65bed00007ba", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 8 + "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "port": "in" + } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "1f410454-644a-4dd3-b626-27b642833012", + "port": "outlabel" }, "target": { - "block": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8", + "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "8284c1dda332813a849c5ba350042fae0ffffbbc": { - "package": { - "name": "DFF", - "version": "0.1", - "description": "DFF: D flip-flop with load input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ + } + }, { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "port": "outlabel" }, - "position": { - "x": 120, - "y": 504 + "target": { + "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "port": "in" } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "port": "outlabel" }, - "position": { - "x": 264, - "y": 504 + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" } }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "4257b3cb-7350-44c5-85e5-b268731c9403", + "port": "outlabel" }, - "position": { - "x": 640, - "y": 512 + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" }, - "position": { - "x": 456, - "y": 552 + "target": { + "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "port": "inlabel" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1192, - "y": 592 + "target": { + "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "port": "inlabel" } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "7c46b388-cac0-47cb-a14e-8e596d9822d9" }, - "position": { - "x": 1048, - "y": 592 + "target": { + "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "port": "inlabel" } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" }, - "position": { - "x": 928, - "y": 600 + "target": { + "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "port": "inlabel" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false + "source": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "dddbb1a2-a5e6-423e-946f-8ca33838450a" }, - "position": { - "x": 120, - "y": 616 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "fuchsia" + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "542753b4-d18d-4cae-b823-1e62a15408ff" }, - "position": { - "x": 272, - "y": 616 - } + "vertices": [], + "size": 4 }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "source": { + "block": "045df3aa-4fcf-49bc-8799-99cbe403ee17", + "port": "constant-out" }, - "position": { - "x": 456, - "y": 616 - } + "target": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + }, + "vertices": [] }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" + "source": { + "block": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", + "port": "constant-out" }, - "position": { - "x": 456, - "y": 688 - } - }, + "target": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + }, + "vertices": [] + } + ] + } + } + }, + "32f4dc71b91d2cfbd55c5982a436afb666f277f6": { + "package": { + "name": "Unit-ns-4bits", + "version": "0.1", + "description": "Unit-ns-4bits: ns to cycles converter. Max value: 1250 ns (15 cycles)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22197.4%22%20height=%2296.404%22%20viewBox=%220%200%2052.228676%2025.507021%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%20font-size=%2244.42%22%20fill=%22green%22%20stroke-width=%22.265%22%20font-weight=%22700%22%3E%3Cpath%20d=%22M24.423%2010.086v14.792h-7.809V13.556q0-3.145-.152-4.338-.13-1.193-.477-1.757-.455-.759-1.236-1.171-.78-.434-1.779-.434-2.429%200-3.817%201.887-1.388%201.866-1.388%205.184v11.951H0V.586h7.765v3.557q1.757-2.126%203.73-3.124Q13.47%200%2015.856%200q4.208%200%206.377%202.581%202.19%202.581%202.19%207.505zM50.602%201.345v5.9q-2.494-1.042-4.815-1.562-2.32-.52-4.381-.52-2.213%200-3.297.563-1.063.542-1.063%201.692%200%20.933.802%201.431.825.5%202.929.738l1.366.195q5.965.76%208.025%202.494%202.06%201.736%202.06%205.444%200%203.883-2.862%205.835-2.863%201.952-8.546%201.952-2.408%200-4.989-.39-2.56-.37-5.27-1.128v-5.9q2.32%201.128%204.75%201.692%202.45.564%204.967.564%202.277%200%203.427-.63%201.15-.628%201.15-1.864%200-1.042-.803-1.54-.781-.52-3.145-.803l-1.367-.173q-5.184-.651-7.266-2.408t-2.082-5.336q0-3.86%202.646-5.726Q35.484%200%2040.95%200q2.147%200%204.512.325%202.364.326%205.14%201.02z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", + "id": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "type": "basic.output", "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "deepskyblue" + "name": "n", + "virtual": true, + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "NULL", + "value": "NULL" + }, + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ] }, "position": { - "x": 272, - "y": 696 + "x": 952, + "y": 488 } }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", "data": { - "name": "load", - "clock": false + "name": "F", + "value": "12000000", + "local": false }, "position": { - "x": 120, - "y": 696 + "x": 520, + "y": 344 } }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", "type": "basic.constant", "data": { - "name": "", - "value": "0", + "name": "ns", + "value": "583", "local": false }, "position": { - "x": 784, - "y": 504 + "x": 720, + "y": 344 } }, { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", "type": "basic.info", "data": { - "info": "Mux 2-1", + "info": "Input parameter: Nanoseconds \n* Max value: 1250 ns (15 cycles)", "readonly": true }, "position": { - "x": 640, - "y": 712 + "x": 712, + "y": 256 }, "size": { - "width": 104, - "height": 40 + "width": 304, + "height": 64 } }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", + "id": "0b858727-f8ad-4c64-882b-640b0edba180", "type": "basic.info", "data": { - "info": "D Flip-flip\n(System)", + "info": "Input parameter: \nSystem Frequency", "readonly": true }, "position": { - "x": 776, - "y": 680 + "x": 480, + "y": 264 }, "size": { - "width": 120, + "width": 280, "height": 48 } }, { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[3:0]", + "size": 4 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "NS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-9 * F * NS);\n\nassign n = Cycles;\n\n" }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", "position": { - "x": 784, - "y": 600 + "x": 472, + "y": 464 }, "size": { - "width": 96, - "height": 64 + "width": 392, + "height": 112 } } ], "wires": [ { "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" }, "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "NS" }, "vertices": [] }, { "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" }, "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" }, "vertices": [] }, { "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" }, "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" + "block": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "port": "in" }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "size": 4 + } + ] + } + } + }, + "d9f08833abf27bbc2d382e10dc5e1799abf5ff35": { + "package": { + "name": "LCD-cycle", + "version": "0.6", + "description": "LCD-cycle: Generar un ciclo en la señal E del LCD", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", + "otid": 1658901385846 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" + "position": { + "x": 192, + "y": -256 } }, { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" + "position": { + "x": 344, + "y": -256 } }, { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" + "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 192, + "y": -152 } }, { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 2360, + "y": -144 } }, { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] + "position": { + "x": 2216, + "y": -144 + } }, { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "type": "basic.output", + "data": { + "name": "E" }, - "vertices": [] + "position": { + "x": 2368, + "y": -48 + } }, { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" + "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "position": { + "x": 840, + "y": -48 } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "a79604fd-0250-4441-a526-65bed00007ba", + "type": "basic.outputLabel", "data": { - "name": "0", - "clock": false + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 144, - "y": 432 + "x": 2224, + "y": -48 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "id": "95e3339b-7a06-4e83-8d47-2685027aa59a", "type": "basic.inputLabel", "data": { + "name": "n", + "range": "[1:0]", "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "size": 2 }, "position": { - "x": 280, - "y": 432 + "x": 960, + "y": -24 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "type": "basic.inputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" + }, + "position": { + "x": 1784, + "y": 0 + } + }, + { + "id": "4a238501-58e7-4093-be98-f52dad02f5ed", "type": "basic.outputLabel", "data": { + "name": "n", + "range": "[1:0]", "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "size": 2 }, "position": { - "x": 416, - "y": 432 + "x": 1352, + "y": 0 } }, { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "id": "4257b3cb-7350-44c5-85e5-b268731c9403", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a" + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 416, - "y": 496 + "x": 520, + "y": 32 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", + "id": "542753b4-d18d-4cae-b823-1e62a15408ff", + "type": "basic.input", "data": { - "name": "" + "name": "T_cyc", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 704, - "y": 496 + "x": 192, + "y": 40 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "id": "5a51839e-9918-4018-9120-de74b87deca0", "type": "basic.inputLabel", "data": { + "name": "T_cyc", + "range": "[3:0]", "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "size": 4 }, "position": { - "x": 280, - "y": 504 + "x": 344, + "y": 40 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", + "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "type": "basic.outputLabel", "data": { - "name": "1", - "clock": false + "name": "dwn", + "blockColor": "fuchsia" }, "position": { - "x": 144, - "y": 504 + "x": 2232, + "y": 56 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "type": "basic.output", "data": { - "name": "sel", - "clock": false + "name": "dwn" }, "position": { - "x": 416, - "y": 568 + "x": 2368, + "y": 56 } }, { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 + "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 520, + "y": 96 } - } - ], - "wires": [ + }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "89f974f9-a1ec-43fb-aab8-37840e57e515", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "fuchsia" }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" + "position": { + "x": 1624, + "y": 128 } }, { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "type": "basic.output", + "data": { + "name": "done" }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "position": { + "x": 2376, + "y": 168 } }, { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "id": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "fuchsia" }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 520, + "y": 168 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" + "id": "1f410454-644a-4dd3-b626-27b642833012", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 2232, + "y": 168 } }, { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" + "position": { + "x": 184, + "y": 176 } }, { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "position": { + "x": 336, + "y": 176 } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 208, - "y": 160 + "x": 888, + "y": 176 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "type": "basic.inputLabel", "data": { - "name": "" + "name": "dwn", + "blockColor": "fuchsia" }, "position": { - "x": 816, - "y": 224 + "x": 1896, + "y": 192 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "97a1352f-3723-4569-a724-6d7e8e65dbe4", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": false + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 208, - "y": 304 + "x": 1352, + "y": 208 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "cycles", + "range": "[2:0]", + "blockColor": "darkorange", + "size": 3 }, "position": { - "x": 512, - "y": 64 + "x": 888, + "y": 240 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", + "id": "845e659b-d1fb-411f-83c7-421979af60a0", + "type": "basic.inputLabel", "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "name": "next", + "blockColor": "fuchsia" }, "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 + "x": 1216, + "y": 312 } }, { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", + "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "type": "basic.inputLabel", "data": { - "info": "Parameter: Initial value", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 + "x": 824, + "y": 352 } }, { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", + "id": "48b111a7-1476-4808-bbbb-310f82cc343d", + "type": "basic.outputLabel", "data": { - "info": "System clock", - "readonly": true + "name": "T_cyc", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 + "x": 360, + "y": 512 } }, { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", + "id": "8550eb51-bbcb-494b-b1d1-9c32b8499242", + "type": "basic.inputLabel", "data": { - "info": "Input data", - "readonly": true + "name": "cycles", + "range": "[2:0]", + "blockColor": "darkorange", + "size": 3 }, "position": { - "x": 224, - "y": 280 + "x": 864, + "y": 528 + } + }, + { + "id": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", + "type": "basic.constant", + "data": { + "name": "Slot", + "value": "2", + "local": true }, - "size": { - "width": 112, - "height": 40 + "position": { + "x": 1496, + "y": 112 } }, { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", "type": "basic.info", "data": { - "info": "Output", + "info": "Ejecutar 1 ciclo", "readonly": true }, "position": { - "x": 840, - "y": 200 + "x": 192, + "y": 144 }, "size": { - "width": 80, + "width": 160, "height": 40 } }, { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", "type": "basic.info", "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", "readonly": true }, "position": { - "x": 144, - "y": -136 + "x": 2480, + "y": -184 }, "size": { - "width": 488, - "height": 104 + "width": 192, + "height": 72 } - } - ], - "wires": [ + }, { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", + "type": "basic.info", + "data": { + "info": "Señal de validación de datos \npara el LCD", + "readonly": true }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "position": { + "x": 2488, + "y": -40 + }, + "size": { + "width": 240, + "height": 56 } }, { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", + "type": "basic.info", + "data": { + "info": "Ciclo completado", + "readonly": true }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "position": { + "x": 2504, + "y": 192 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "id": "ce81778b-8ee7-4cc9-8276-a032261fa42f", + "type": "basic.info", + "data": { + "info": "Ciclo completado!", + "readonly": true }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "position": { + "x": 792, + "y": 416 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "id": "74f51491-7acf-4049-b9b1-09967c348f7a", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 1648, + "y": 0 }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "size": { + "width": 96, + "height": 64 } - } - ] - } - } - }, - "cd03feae103824c95f164b3d6e9ee634e331304a": { - "package": { - "name": "4-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (4-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A3", - "oldBlockColor": "fuchsia" - }, + "id": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 712, - "y": -72 + "x": 1488, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", - "type": "basic.outputLabel", - "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" - }, + "id": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "type": "93c5332100dac9394e2631de4a8fcdcbc727bc54", "position": { - "x": 712, - "y": 0 + "x": 1496, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" - }, + "id": "ff784ae7-4b27-4080-877b-7252154a1c93", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 712, - "y": 72 + "x": 1752, + "y": 192 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "type": "basic.outputLabel", + "id": "bf78930b-811d-4fac-b091-54a9be6cd965", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "info": "Slots 1 y 2: Pulso E a 1 \n(En el resto de Slots E está a 0)", + "readonly": true }, "position": { - "x": 712, - "y": 160 + "x": 1808, + "y": -72 + }, + "size": { + "width": 296, + "height": 64 } }, { - "id": "6776605f-93ce-4cae-8606-055bd2415b2d", - "type": "basic.inputLabel", + "id": "93a76747-7a9f-4f38-847f-bbdd581b7191", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A3", - "oldBlockColor": "fuchsia" + "info": "Flanco de bajada en el pulso \n(fin del Slot 2) ", + "readonly": true }, "position": { - "x": 432, - "y": 160 + "x": 1856, + "y": 128 + }, + "size": { + "width": 288, + "height": 64 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "type": "basic.inputLabel", + "id": "23e5ba95-fb1d-4d4d-a5f4-dcaee680271c", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "info": "Máquina de contar \nde 2 bits \nSlot=0,1,2,3 ", + "readonly": true }, "position": { - "x": 440, - "y": 224 + "x": 632, + "y": -56 + }, + "size": { + "width": 200, + "height": 80 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "type": "basic.outputLabel", + "id": "cf848d60-cbde-4c79-9a0f-84cd7640d827", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "info": "## Slots de T/4", + "readonly": true }, "position": { - "x": 712, - "y": 232 + "x": 1024, + "y": 80 + }, + "size": { + "width": 208, + "height": 40 } }, { - "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "type": "basic.input", + "id": "afbdd2f8-3ec2-4500-8ba2-318d3d22cad3", + "type": "basic.info", "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "Numero de slot", + "readonly": true }, "position": { - "x": 120, - "y": 240 + "x": 960, + "y": -48 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", - "type": "basic.inputLabel", + "id": "1633035a-d6ed-4007-b7b4-8524fabc447f", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1" + "info": "## Generar las señales de salida\n\nLas señales de salida se generan según el número de slot (h):\n\n* Slot 0: 250ns iniciales\n* Slots 1 y 2: Generar el pulso (500ns)\n* Slot 3: 250ns finales", + "readonly": true }, "position": { - "x": 432, - "y": 296 + "x": 1440, + "y": -240 + }, + "size": { + "width": 456, + "height": 136 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "type": "basic.outputLabel", + "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Flanco de bajada \nen E", + "readonly": true }, "position": { - "x": 712, - "y": 304 - } - }, - { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A0", - "oldBlockColor": "fuchsia" + "x": 2496, + "y": 64 }, - "position": { - "x": 416, - "y": 360 + "size": { + "width": 176, + "height": 48 } }, { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", + "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1", - "oldBlockColor": "fuchsia" + "info": "No conectado", + "readonly": true }, "position": { - "x": 712, - "y": 400 - } - }, - { - "id": "0c30aa42-915c-42e9-9179-f46349c9400c", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "x": 320, + "y": -152 }, - "position": { - "x": 1256, - "y": 416 + "size": { + "width": 160, + "height": 40 } }, { - "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "type": "basic.inputLabel", + "id": "a371e5de-c3ba-47c3-aa7f-61cd41b15783", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" + "info": "Periodo en ciclos", + "readonly": true }, "position": { - "x": 456, - "y": 464 - } - }, - { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", - "type": "basic.outputLabel", - "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" + "x": 160, + "y": 0 }, - "position": { - "x": 712, - "y": 488 + "size": { + "width": 160, + "height": 40 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "type": "basic.inputLabel", + "id": "27bf274c-4365-42dd-8e09-e3a7a0520e09", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "info": "Dividir entre 4", + "readonly": true }, "position": { - "x": 464, - "y": 528 - } - }, - { - "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 + "x": 512, + "y": 472 }, - "position": { - "x": 120, - "y": 544 + "size": { + "width": 152, + "height": 40 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" - }, + "id": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "type": "0fa07340e795f699d6f370d550d2259f58dd3e21", "position": { - "x": 712, - "y": 560 - } - }, - { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", - "type": "basic.inputLabel", - "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "fuchsia" + "x": 688, + "y": 512 }, - "position": { - "x": 464, - "y": 592 + "size": { + "width": 96, + "height": 64 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A0" - }, + "id": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "type": "582721ded9ae89aab6647702e561cbbd2c48e590", "position": { - "x": 720, - "y": 648 - } - }, - { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", - "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "x": 512, + "y": 512 }, - "position": { - "x": 432, - "y": 648 + "size": { + "width": 96, + "height": 64 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "693e8bfe-ce67-4af7-a0eb-ee9a686a0d52", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "info": "El bloque Sys-delay que usamos es de \nsólo 3 bits, para ahorrar espacio", + "readonly": true }, "position": { - "x": 720, - "y": 720 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false + "x": 992, + "y": 528 }, - "position": { - "x": 104, - "y": 728 + "size": { + "width": 344, + "height": 80 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", + "id": "ecfc40f5-9825-4adc-babb-2eeac5d27eb8", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Periodo de E \nen **ciclos** (T)", + "readonly": true }, "position": { - "x": 264, - "y": 728 + "x": 368, + "y": 464 + }, + "size": { + "width": 168, + "height": 64 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "type": "basic.outputLabel", + "id": "70b1437c-859a-4d50-9532-8f516340b25a", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "El tiempo de cada slot \nes T/4", + "readonly": true }, "position": { - "x": 712, - "y": 800 + "x": 496, + "y": 592 + }, + "size": { + "width": 192, + "height": 56 } }, { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "b8e49328-9b39-4aaa-b233-72db8385c056", + "type": "f1067a42ce9553f42d194311ecd96a6397e80332", "position": { - "x": 856, - "y": 472 + "x": 688, + "y": 48 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "type": "076e4991a6a72fa34566f59fae65e81138cbffda", "position": { - "x": 864, - "y": 704 + "x": 1064, + "y": 264 }, "size": { "width": 96, "height": 96 } - }, + } + ], + "wires": [ { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", - "type": "basic.info", - "data": { - "info": "Channel A", - "readonly": true - }, - "position": { - "x": 304, - "y": 128 - }, - "size": { - "width": 144, - "height": 32 - } - }, - { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", - "data": { - "info": "Channel B", - "readonly": true - }, - "position": { - "x": 304, - "y": 480 - }, - "size": { - "width": 144, - "height": 32 - } - }, - { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 856, - "y": 216 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1040, - "y": 384 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 856, - "y": -16 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": 288, - "y": 512 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": 280, - "y": 208 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "block": "0a772657-8018-424d-8f04-75d3ffff3692", "port": "inlabel" - } - }, - { - "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" }, - "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "vertices": [] }, { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "4a238501-58e7-4093-be98-f52dad02f5ed", + "port": "outlabel" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", - "port": "inlabel" - } + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "a409d207-7594-4558-8e15-89712262cf5b", + "size": 2 + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "port": "outlabel" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "size": 2 }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } + "block": "95e3339b-7a06-4e83-8d47-2685027aa59a", + "port": "inlabel" + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } + }, + "vertices": [] }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "block": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + }, + "vertices": [] }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "block": "97a1352f-3723-4569-a724-6d7e8e65dbe4", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "size": 2 + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "port": "outlabel" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "block": "89f974f9-a1ec-43fb-aab8-37840e57e515", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "port": "outlabel" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "845e659b-d1fb-411f-83c7-421979af60a0", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "port": "out" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", "port": "inlabel" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "a79604fd-0250-4441-a526-65bed00007ba", + "port": "outlabel" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "port": "inlabel" + "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "port": "in" } }, { "source": { - "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "block": "1f410454-644a-4dd3-b626-27b642833012", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "port": "in" + } }, { "source": { - "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "port": "in" + } }, { "source": { - "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "block": "4257b3cb-7350-44c5-85e5-b268731c9403", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", + "port": "outlabel" }, "target": { - "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "port": "inlabel" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "port": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", + "size": 3 }, "target": { - "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "block": "8550eb51-bbcb-494b-b1d1-9c32b8499242", "port": "inlabel" - } + }, + "vertices": [], + "size": 3 }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "542753b4-d18d-4cae-b823-1e62a15408ff", + "port": "out", + "size": 4 }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "5a51839e-9918-4018-9120-de74b87deca0", + "port": "inlabel" }, - "vertices": [ - { - "x": 984, - "y": 472 - } - ] + "size": 4 }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "48b111a7-1476-4808-bbbb-310f82cc343d", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } + "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "port": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "5ded0703-b257-4d63-923d-e5443d342fb3", + "size": 3 }, "vertices": [ { - "x": 976, - "y": 352 + "x": 1000, + "y": 296 } - ] + ], + "size": 3 }, { "source": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "0c30aa42-915c-42e9-9179-f46349c9400c", - "port": "in" + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": 4 + "vertices": [] }, { "source": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { "source": { - "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "port": "out" + "block": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", + "port": "constant-out" }, "target": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "38335b0e-8d9b-4725-a45c-e0751762c6f8" }, - "size": 4 + "vertices": [] }, { "source": { - "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "port": "out" + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" + }, + "target": { + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [ + { + "x": 832, + "y": 296 + } + ] + }, + { + "source": { + "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "port": "47e6d0c1-84e5-4710-8a74-901692817ca9" + }, + "target": { + "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "port": "745d3113-3b1f-499a-a414-00e638cd932b" }, + "vertices": [], "size": 4 } ] } } }, - "2e6e3c0a3394cfbe15d739c75bdd7911331e95a2": { + "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { "package": { - "name": "LCD-cycle-ns", - "version": "0.4", - "description": "Generar un ciclo en la señal E del LCD", + "name": "Bus2-Split-all", + "version": "0.1", + "description": "Bus2-Split-all: Split the 2-bits bus into two wires", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", - "otid": 1658901385846 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "1" }, "position": { - "x": 288, - "y": -184 + "x": 552, + "y": 128 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "a409d207-7594-4558-8e15-89712262cf5b", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 440, - "y": -184 + "x": 136, + "y": 184 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "0f7487e5-b070-4277-bba6-acf69934afca", "type": "basic.output", "data": { - "name": "busy" + "name": "0" }, "position": { - "x": 1608, - "y": -168 + "x": 552, + "y": 240 } }, { - "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "type": "basic.outputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "busy", - "blockColor": "navy" + "code": "assign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, "position": { - "x": 1464, - "y": -168 + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { - "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 288, - "y": -80 + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" } }, { - "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "source": { + "block": "a409d207-7594-4558-8e15-89712262cf5b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 2 + } + ] + } + } + }, + "93c5332100dac9394e2631de4a8fcdcbc727bc54": { + "package": { + "name": "Comp1-x02", + "version": "0.1", + "description": "Comp1-x02: Comparator of 2-bits operand and parameter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618596618675 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "E" + "name": "" }, "position": { - "x": 1616, - "y": -72 + "x": 904, + "y": 344 } }, { - "id": "a79604fd-0250-4441-a526-65bed00007ba", - "type": "basic.outputLabel", + "id": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "type": "basic.input", "data": { - "name": "E", - "blockColor": "lightgray" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1472, - "y": -72 + "x": 496, + "y": 432 } }, { - "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "type": "basic.inputLabel", + "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "type": "basic.constant", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1320, - "y": -64 + "x": 520, + "y": 224 } }, { - "id": "4257b3cb-7350-44c5-85e5-b268731c9403", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "id": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "type": "e5bbf41d78133bc689da8710862f21529dc57878", + "position": { + "x": 720, + "y": 344 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", "position": { - "x": 1000, - "y": 0 + "x": 520, + "y": 328 + }, + "size": { + "width": 96, + "height": 64 } + } + ], + "wires": [ + { + "source": { + "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "port": "constant-out" + }, + "target": { + "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] }, { - "id": "b9e6bf41-98e2-4422-aeb7-f4a9b6332f20", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false + "source": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 288, - "y": 0 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "source": { + "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" + }, + "target": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "cafb11f4-8366-4ea4-96dc-09421b1ab171" + }, + "size": 2 + }, + { + "source": { + "block": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "port": "out" + }, + "target": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "4e04cf57-df6a-4731-8426-5d45850cbc29" + }, + "size": 2 + } + ] + } + } + }, + "e5bbf41d78133bc689da8710862f21529dc57878": { + "package": { + "name": "comp2-2bits", + "version": "0.1", + "description": "Comp2-2bit: Comparator of two 2-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", "type": "basic.inputLabel", "data": { - "name": "E", - "blockColor": "lightgray" + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1312, - "y": 32 + "x": 680, + "y": 168 } }, { - "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "type": "basic.outputLabel", "data": { - "name": "dwn", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a1" }, "position": { - "x": 1480, - "y": 32 + "x": 904, + "y": 176 } }, { - "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "type": "basic.output", + "id": "cafb11f4-8366-4ea4-96dc-09421b1ab171", + "type": "basic.input", "data": { - "name": "dwn" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1616, - "y": 32 + "x": 368, + "y": 208 } }, { - "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "type": "basic.input", + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "type": "basic.outputLabel", "data": { - "name": "start", - "clock": false + "blockColor": "fuchsia", + "name": "b1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 288, - "y": 120 + "x": 904, + "y": 248 } }, { - "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", "type": "basic.inputLabel", "data": { - "name": "start", - "blockColor": "orangered" + "blockColor": "fuchsia", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 440, - "y": 120 + "x": 680, + "y": 256 } }, { - "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "dwn", - "blockColor": "fuchsia" + "name": "" }, "position": { - "x": 1312, - "y": 128 + "x": 1368, + "y": 320 } }, { - "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "id": "9eab6815-4005-453c-8f24-68951cced197", "type": "basic.outputLabel", "data": { - "name": "start", - "blockColor": "orangered" + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 992, - "y": 136 + "x": 904, + "y": 344 } }, { - "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "type": "basic.output", + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", "data": { - "name": "done" + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1624, - "y": 144 + "x": 688, + "y": 360 } }, { - "id": "1f410454-644a-4dd3-b626-27b642833012", - "type": "basic.outputLabel", + "id": "4e04cf57-df6a-4731-8426-5d45850cbc29", + "type": "basic.input", "data": { - "name": "done", - "blockColor": "springgreen" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1480, - "y": 144 + "x": 376, + "y": 408 } }, { - "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "type": "basic.inputLabel", + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1288, - "y": 200 + "x": 904, + "y": 416 } }, { - "id": "045df3aa-4fcf-49bc-8799-99cbe403ee17", - "type": "basic.constant", - "data": { - "name": "Fsys", - "value": "12000000", - "local": false - }, - "position": { - "x": 696, - "y": -48 - } - }, - { - "id": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", - "type": "basic.constant", - "data": { - "name": "TEns", - "value": "1000", - "local": false - }, - "position": { - "x": 840, - "y": -56 - } - }, - { - "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", - "type": "basic.info", + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", "data": { - "info": "Ejecutar 1 ciclo", - "readonly": true + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 296, - "y": 88 - }, - "size": { - "width": 160, - "height": 40 + "x": 688, + "y": 456 } }, { - "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", - "type": "basic.info", - "data": { - "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", - "readonly": true - }, + "id": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1728, - "y": -208 + "x": 536, + "y": 208 }, "size": { - "width": 192, - "height": 72 + "width": 96, + "height": 64 } }, { - "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", - "type": "basic.info", - "data": { - "info": "Señal de validación de datos \npara el LCD", - "readonly": true - }, + "id": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1736, - "y": -64 + "x": 536, + "y": 408 }, "size": { - "width": 240, - "height": 56 + "width": 96, + "height": 64 } }, { - "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", - "type": "basic.info", - "data": { - "info": "Ciclo completado", - "readonly": true - }, + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 1752, - "y": 168 + "x": 1056, + "y": 400 }, "size": { - "width": 160, - "height": 40 + "width": 96, + "height": 64 } }, { - "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", - "type": "basic.info", - "data": { - "info": "Flanco de bajada \nen E", - "readonly": true - }, + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 1744, - "y": 40 + "x": 1056, + "y": 232 }, "size": { - "width": 176, - "height": 48 + "width": 96, + "height": 64 } }, { - "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", "type": "basic.info", "data": { - "info": "No conectado", + "info": "A", "readonly": true }, "position": { - "x": 424, - "y": -64 - }, - "size": { - "width": 160, - "height": 40 - } - }, - { - "id": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "type": "3a9322bee3e244652cef2963fcac1bab148026e7", - "position": { - "x": 1144, - "y": 16 + "x": 416, + "y": 184 }, "size": { - "width": 96, - "height": 128 + "width": 80, + "height": 32 } }, { - "id": "f42025e8-8342-4454-af6c-1b1110db5751", + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", "type": "basic.info", "data": { - "info": "Periodo de E \nen ns", + "info": "B", "readonly": true }, "position": { - "x": 864, - "y": -112 + "x": 416, + "y": 384 }, "size": { - "width": 168, - "height": 56 + "width": 80, + "height": 32 } }, { - "id": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "type": "32f4dc71b91d2cfbd55c5982a436afb666f277f6", + "id": "77ea015e-6eb5-4458-9077-72372bf94173", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 816, - "y": 64 + "x": 1208, + "y": 320 }, "size": { "width": 96, "height": 64 } - }, - { - "id": "21d9df80-5a5d-4544-97a9-b6c0450d6617", - "type": "basic.info", - "data": { - "info": "Frecuencia del reloj del \nsistema (en Hz)", - "readonly": true - }, - "position": { - "x": 664, - "y": -104 - }, - "size": { - "width": 208, - "height": 56 - } - }, - { - "id": "0f44b5f7-010b-4c79-862f-b295c8ed26c5", - "type": "basic.info", - "data": { - "info": "No conectado", - "readonly": true - }, - "position": { - "x": 424, - "y": 16 - }, - "size": { - "width": 160, - "height": 40 - } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "port": "outlabel" + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" } }, { "source": { - "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "port": "out" + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", "port": "inlabel" } }, { "source": { - "block": "a79604fd-0250-4441-a526-65bed00007ba", - "port": "outlabel" + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", - "port": "in" + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" } }, { "source": { - "block": "1f410454-644a-4dd3-b626-27b642833012", + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "port": "outlabel" }, "target": { - "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "port": "in" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", "port": "outlabel" }, "target": { - "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "port": "in" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { "source": { - "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "block": "9eab6815-4005-453c-8f24-68951cced197", "port": "outlabel" }, "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "4257b3cb-7350-44c5-85e5-b268731c9403", + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", "port": "outlabel" }, "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" + "block": "cafb11f4-8366-4ea4-96dc-09421b1ab171", + "port": "out" }, "target": { - "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "port": "inlabel" - } + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "4e04cf57-df6a-4731-8426-5d45850cbc29", + "port": "out" }, "target": { - "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "port": "inlabel" - } - }, - { - "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "7c46b388-cac0-47cb-a14e-8e596d9822d9" + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "a409d207-7594-4558-8e15-89712262cf5b" }, - "target": { - "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "port": "inlabel" - } + "size": 2 }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "dddbb1a2-a5e6-423e-946f-8ca33838450a" - }, - "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "542753b4-d18d-4cae-b823-1e62a15408ff" - }, - "vertices": [], - "size": 4 - }, - { - "source": { - "block": "045df3aa-4fcf-49bc-8799-99cbe403ee17", - "port": "constant-out" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "baa35392-0185-43dc-9b99-bb34e31e987d" - }, - "vertices": [] + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", - "port": "constant-out" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" - }, - "vertices": [] + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } } ] } } }, - "3a9322bee3e244652cef2963fcac1bab148026e7": { + "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { "package": { - "name": "LCD-cycle", - "version": "0.4", - "description": "Generar un ciclo en la señal E del LCD", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", - "otid": 1658901385846 + "name": "2-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 2-bits generic constant (0,1,2,3)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", + "type": "basic.output", "data": { "name": "", - "clock": true + "range": "[1:0]", + "size": 2 }, "position": { - "x": 192, - "y": -256 + "x": 952, + "y": 248 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 344, - "y": -256 + "x": 728, + "y": 128 } }, { - "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", - "type": "basic.input", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "name": "nc", - "virtual": true, - "pins": [ + "code": "assign k = VALUE;", + "params": [ { - "index": "0", - "name": "NULL", - "value": "NULL" + "name": "VALUE" } ], - "clock": false + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[1:0]", + "size": 2 + } + ] + } }, "position": { - "x": 192, - "y": -152 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "0fa07340e795f699d6f370d550d2259f58dd3e21": { + "package": { + "name": "Bus4-Split-1-3", + "version": "0.1", + "description": "Bus4-Split-1-3: Split the 4-bits bus into two: 1-bit and 3-bits buses", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", "type": "basic.output", "data": { - "name": "busy" + "name": "1" }, "position": { - "x": 2360, - "y": -144 + "x": 560, + "y": 80 } }, { - "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "type": "basic.outputLabel", + "id": "745d3113-3b1f-499a-a414-00e638cd932b", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 2216, - "y": -144 + "x": 136, + "y": 184 } }, { - "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "id": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", "type": "basic.output", "data": { - "name": "E" + "name": "0", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 2368, - "y": -48 + "x": 600, + "y": 208 } }, { - "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "type": "basic.inputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "code": "assign o1 = i[3];\nassign o0 = i[2:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[2:0]", + "size": 3 + } + ] + } }, "position": { - "x": 840, - "y": -48 + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "a79604fd-0250-4441-a526-65bed00007ba", - "type": "basic.outputLabel", - "data": { - "name": "E", - "blockColor": "lightgray", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "position": { - "x": 2224, - "y": -48 + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { - "id": "95e3339b-7a06-4e83-8d47-2685027aa59a", - "type": "basic.inputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 960, - "y": -24 - } - }, - { - "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "type": "basic.inputLabel", - "data": { - "name": "E", - "blockColor": "lightgray", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "target": { + "block": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", + "port": "in" }, - "position": { - "x": 1784, - "y": 0 - } + "size": 3 }, { - "id": "4a238501-58e7-4093-be98-f52dad02f5ed", - "type": "basic.outputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "745d3113-3b1f-499a-a414-00e638cd932b", + "port": "out" }, - "position": { - "x": 1352, - "y": 0 - } - }, - { - "id": "4257b3cb-7350-44c5-85e5-b268731c9403", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "position": { - "x": 520, - "y": 32 - } - }, + "size": 4 + } + ] + } + } + }, + "582721ded9ae89aab6647702e561cbbd2c48e590": { + "package": { + "name": "Div4-uint-4bits", + "version": "0.1", + "description": "Div4-unit-4bits: Divide a 4-bits uint number by 4", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22339.186%22%20height=%22183.786%22%20viewBox=%220%200%20317.98705%20172.30008%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-468.41%22%20y=%2243.877%22%20font-size=%2237.5%22%20font-family=%22sans-serif%22%20writing-mode=%22vertical-lr%22%20stroke-width=%22.938%22/%3E%3Cg%20style=%22line-height:1.25%22%20transform=%22translate(17.31%20-17.61)%22%20font-size=%22190.376%22%20fill=%22#00f%22%20stroke-width=%224.759%22%3E%3Cpath%20d=%22M-7.935%20111.638h147.779%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%2218.75%22%20stroke-linecap=%22round%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%2258.129%22%20r=%2224.417%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%22165.148%22%20r=%2224.417%22/%3E%3C/g%3E%3Cpath%20d=%22M251.399%2036.583l-48.701%2072.129h48.7zM244.013%200h49.393v108.712h24.582v32.198h-24.582v31.39H251.4v-31.39H175v-38.084z%22%20font-size=%22236.35%22%20stroke-width=%225.441%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22/%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ { - "id": "542753b4-d18d-4cae-b823-1e62a15408ff", + "id": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", "type": "basic.input", "data": { - "name": "T_cyc", - "virtual": true, + "name": "", "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "clock": false, + "size": 4 }, "position": { - "x": 192, - "y": 40 + "x": 480, + "y": 272 } }, { - "id": "5a51839e-9918-4018-9120-de74b87deca0", - "type": "basic.inputLabel", + "id": "47e6d0c1-84e5-4710-8a74-901692817ca9", + "type": "basic.output", "data": { - "name": "T_cyc", + "name": "", "range": "[3:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 4 }, "position": { - "x": 344, - "y": 40 + "x": 984, + "y": 272 } }, { - "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", - "type": "basic.outputLabel", + "id": "c2696cd3-b7a7-42b8-ad2b-58e136fc1cfd", + "type": "basic.info", "data": { - "name": "dwn", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "It is implemented by shifthing 2 bits to the right", + "readonly": true }, "position": { - "x": 2232, - "y": 56 + "x": 528, + "y": 176 + }, + "size": { + "width": 424, + "height": 40 } }, { - "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "type": "basic.output", - "data": { - "name": "dwn", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", "position": { - "x": 2368, - "y": 56 + "x": 640, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", "position": { - "x": 520, - "y": 96 + "x": 808, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "89f974f9-a1ec-43fb-aab8-37840e57e515", - "type": "basic.outputLabel", - "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "port": "out" }, - "position": { - "x": 1624, - "y": 128 - } + "target": { + "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" + }, + "size": 4 }, { - "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "type": "basic.output", - "data": { - "name": "done" + "source": { + "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" }, - "position": { - "x": 2376, - "y": 168 - } + "target": { + "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" + }, + "size": 4 }, { - "id": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", - "type": "basic.outputLabel", - "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" }, - "position": { - "x": 520, - "y": 168 - } - }, + "target": { + "block": "47e6d0c1-84e5-4710-8a74-901692817ca9", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "bb3c16ee131504e1eb5a52aebaf459263bf9f239": { + "package": { + "name": "SR1-unit4", + "version": "0.1", + "description": "SR1-uint4: Shift a 4-bit value one bit right. MSB is filled with 0 (no sign used)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22164.122%22%20viewBox=%220%200%20280.28048%20153.86437%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-251.299)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22700%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%221.809%22%3E%3Cpath%20d=%22M27.921%2096.208h14.585v33.904q0%207.008%202.273%2010.04%202.31%202.992%207.5%202.992%205.228%200%207.501-2.993%202.31-3.03%202.31-10.039V96.208h14.585v33.904q0%2012.009-6.023%2017.88-6.023%205.872-18.373%205.872-12.311%200-18.335-5.871-6.023-5.872-6.023-17.88zM90.957%2096.208h14.585v56.558H90.957zM119.899%2096.208h16.29l20.57%2038.791V96.208h13.826v56.558h-16.29l-20.57-38.791v38.79H119.9zM178.162%2096.208h52.125v11.024h-18.751v45.534H196.95v-45.534h-18.79z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ { - "id": "1f410454-644a-4dd3-b626-27b642833012", - "type": "basic.outputLabel", + "id": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 2232, - "y": 168 + "x": 1048, + "y": 264 } }, { - "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "id": "bd4f8bd4-1168-45de-9e31-9285fc5df114", "type": "basic.input", "data": { - "name": "start", - "clock": false + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { "x": 184, - "y": 176 + "y": 296 } }, { - "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "953c64e3-4076-4585-9f11-712f1a493091", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 336, - "y": 176 + "x": 736, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, + "id": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 888, - "y": 176 + "x": 424, + "y": 264 + }, + "size": { + "width": 96, + "height": 128 } }, { - "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "type": "basic.inputLabel", - "data": { - "name": "dwn", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "01808189-9bef-463d-b550-33d6bf218fa5", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1896, - "y": 192 + "x": 896, + "y": 232 + }, + "size": { + "width": 96, + "height": 128 } - }, + } + ], + "wires": [ { - "id": "97a1352f-3723-4569-a724-6d7e8e65dbe4", - "type": "basic.outputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "position": { - "x": 1352, - "y": 208 - } + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 656, + "y": 312 + } + ] }, { - "id": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", - "type": "basic.outputLabel", - "data": { - "name": "cycles", - "range": "[2:0]", - "blockColor": "darkorange", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 888, - "y": 240 - } + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + }, + "vertices": [] }, { - "id": "845e659b-d1fb-411f-83c7-421979af60a0", - "type": "basic.inputLabel", - "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "bd4f8bd4-1168-45de-9e31-9285fc5df114", + "port": "out" }, - "position": { - "x": 1216, - "y": 312 - } + "target": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 }, { - "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "type": "basic.inputLabel", - "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, - "position": { - "x": 824, - "y": 352 + "target": { + "block": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "953c64e3-4076-4585-9f11-712f1a493091", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { - "id": "48b111a7-1476-4808-bbbb-310f82cc343d", + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + } + } + ] + } + } + }, + "f1067a42ce9553f42d194311ecd96a6397e80332": { + "package": { + "name": "Mach-count-2-bit", + "version": "0.3", + "description": "Mach-count-2-bit: 2-bit count machine", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621619608318 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", "type": "basic.outputLabel", "data": { - "name": "T_cyc", - "range": "[3:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 360, - "y": 512 + "x": 1320, + "y": 8 } }, { - "id": "8550eb51-bbcb-494b-b1d1-9c32b8499242", + "id": "1619287c-6197-46f8-95b5-e0a8ac103782", "type": "basic.inputLabel", "data": { - "name": "cycles", - "range": "[2:0]", - "blockColor": "darkorange", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 864, - "y": 528 + "x": 1600, + "y": 72 } }, { - "id": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", - "type": "basic.constant", + "id": "906db813-b008-4932-a78c-39f15e593412", + "type": "basic.outputLabel", "data": { - "name": "Slot", - "value": "2", - "local": true + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 1496, - "y": 112 + "x": 1248, + "y": 72 } }, { - "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", - "type": "basic.info", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "info": "Ejecutar 1 ciclo", - "readonly": true + "name": "busy" }, "position": { - "x": 192, - "y": 144 - }, - "size": { - "width": 160, - "height": 40 + "x": 2064, + "y": 88 } }, { - "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", - "type": "basic.info", + "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "type": "basic.outputLabel", "data": { - "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", - "readonly": true + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 2480, - "y": -184 - }, - "size": { - "width": 192, - "height": 72 + "x": 1904, + "y": 88 } }, { - "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", - "type": "basic.info", + "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", + "type": "basic.outputLabel", "data": { - "info": "Señal de validación de datos \npara el LCD", - "readonly": true + "name": "start", + "blockColor": "orangered" }, "position": { - "x": 2488, - "y": -40 - }, - "size": { - "width": 240, - "height": 56 + "x": 776, + "y": 104 } }, { - "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", - "type": "basic.info", + "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", + "type": "basic.inputLabel", "data": { - "info": "Ciclo completado", - "readonly": true + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 2504, - "y": 192 - }, - "size": { - "width": 160, - "height": 40 + "x": 1072, + "y": 120 } }, { - "id": "ce81778b-8ee7-4cc9-8276-a032261fa42f", - "type": "basic.info", + "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", + "type": "basic.outputLabel", "data": { - "info": "Ciclo completado!", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 792, - "y": 416 - }, - "size": { - "width": 160, - "height": 40 + "x": 1256, + "y": 128 } }, { - "id": "74f51491-7acf-4049-b9b1-09967c348f7a", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 1648, - "y": 0 + "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 472, + "y": 184 } }, { - "id": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, "position": { - "x": 1488, - "y": 0 - }, - "size": { - "width": 96, - "height": 64 + "x": 120, + "y": 200 } }, { - "id": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "type": "4c73bd1cd0a1c2400416fb1d09b1dc76faf3501b", - "position": { - "x": 688, - "y": 48 + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 272, + "y": 200 } }, { - "id": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "type": "93c5332100dac9394e2631de4a8fcdcbc727bc54", - "position": { - "x": 1496, - "y": 208 + "id": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "type": "basic.output", + "data": { + "name": "n", + "range": "[1:0]", + "size": 2 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2064, + "y": 200 } }, { - "id": "ff784ae7-4b27-4080-877b-7252154a1c93", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 1752, - "y": 192 + "id": "c0f81a2d-40a8-4519-8066-cda7f2897e80", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1904, + "y": 200 } }, { - "id": "bf78930b-811d-4fac-b091-54a9be6cd965", - "type": "basic.info", + "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "type": "basic.output", "data": { - "info": "Slots 1 y 2: Pulso E a 1 \n(En el resto de Slots E está a 0)", - "readonly": true + "name": "exec" }, "position": { - "x": 1808, - "y": -72 - }, - "size": { - "width": 296, - "height": 64 + "x": 2064, + "y": 280 } }, { - "id": "93a76747-7a9f-4f38-847f-bbdd581b7191", - "type": "basic.info", + "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "type": "basic.outputLabel", "data": { - "info": "Flanco de bajada en el pulso \n(fin del Slot 2) ", - "readonly": true + "name": "exec", + "blockColor": "darkgreen" }, "position": { - "x": 1856, - "y": 128 - }, - "size": { - "width": 288, - "height": 64 + "x": 1904, + "y": 280 } }, { - "id": "23e5ba95-fb1d-4d4d-a5f4-dcaee680271c", - "type": "basic.info", + "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", + "type": "basic.outputLabel", "data": { - "info": "Máquina de contar \nde 2 bits \nSlot=0,1,2,3 ", - "readonly": true + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 632, - "y": -56 - }, - "size": { - "width": 200, - "height": 80 + "x": 1264, + "y": 280 } }, { - "id": "cf848d60-cbde-4c79-9a0f-84cd7640d827", - "type": "basic.info", + "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", + "type": "basic.input", "data": { - "info": "## Slots de T/4", - "readonly": true + "name": "nc", + "clock": false }, "position": { - "x": 1024, - "y": 80 - }, - "size": { - "width": 208, - "height": 40 + "x": 120, + "y": 288 } }, { - "id": "afbdd2f8-3ec2-4500-8ba2-318d3d22cad3", - "type": "basic.info", + "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", + "type": "basic.outputLabel", "data": { - "info": "Numero de slot", - "readonly": true + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 960, - "y": -48 - }, - "size": { - "width": 168, - "height": 40 + "x": 464, + "y": 304 } }, { - "id": "1633035a-d6ed-4007-b7b4-8524fabc447f", - "type": "basic.info", + "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", + "type": "basic.outputLabel", "data": { - "info": "## Generar las señales de salida\n\nLas señales de salida se generan según el número de slot (h):\n\n* Slot 0: 250ns iniciales\n* Slots 1 y 2: Generar el pulso (500ns)\n* Slot 3: 250ns finales", - "readonly": true + "name": "next_ok", + "blockColor": "mediumvioletred" }, "position": { - "x": 1440, - "y": -240 - }, - "size": { - "width": 456, - "height": 136 + "x": 1264, + "y": 352 } }, { - "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", - "type": "basic.info", + "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "type": "basic.output", "data": { - "info": "Flanco de bajada \nen E", - "readonly": true + "name": "done" }, "position": { - "x": 2496, - "y": 64 - }, - "size": { - "width": 176, - "height": 48 + "x": 2064, + "y": 360 } }, { - "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", - "type": "basic.info", + "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "type": "basic.outputLabel", "data": { - "info": "No conectado", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 320, - "y": -152 - }, - "size": { - "width": 160, - "height": 40 + "x": 1896, + "y": 360 } }, { - "id": "a371e5de-c3ba-47c3-aa7f-61cd41b15783", - "type": "basic.info", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "info": "Periodo en ciclos", - "readonly": true + "name": "start", + "clock": false }, "position": { - "x": 160, - "y": 0 - }, - "size": { - "width": 160, - "height": 40 + "x": 120, + "y": 376 } }, { - "id": "27bf274c-4365-42dd-8e09-e3a7a0520e09", - "type": "basic.info", + "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "type": "basic.inputLabel", "data": { - "info": "Dividir entre 4", - "readonly": true + "name": "start", + "blockColor": "orangered" }, "position": { - "x": 512, - "y": 472 - }, - "size": { - "width": 152, - "height": 40 + "x": 272, + "y": 376 } }, { - "id": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "type": "0fa07340e795f699d6f370d550d2259f58dd3e21", - "position": { - "x": 688, - "y": 512 + "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 464, + "y": 384 } }, { - "id": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "type": "582721ded9ae89aab6647702e561cbbd2c48e590", - "position": { - "x": 512, - "y": 512 + "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 448 } }, { - "id": "693e8bfe-ce67-4af7-a0eb-ee9a686a0d52", - "type": "basic.info", + "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "type": "basic.inputLabel", "data": { - "info": "El bloque Sys-delay que usamos es de \nsólo 3 bits, para ahorrar espacio", - "readonly": true + "blockColor": "fuchsia", + "name": "next", + "oldBlockColor": "fuchsia" }, "position": { - "x": 992, - "y": 528 - }, - "size": { - "width": 344, - "height": 80 + "x": 272, + "y": 448 } }, { - "id": "ecfc40f5-9825-4adc-babb-2eeac5d27eb8", - "type": "basic.info", + "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", + "type": "basic.inputLabel", "data": { - "info": "Periodo de E \nen **ciclos** (T)", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 368, + "x": 1768, "y": 464 - }, - "size": { - "width": 168, - "height": 64 } }, { - "id": "70b1437c-859a-4d50-9532-8f516340b25a", - "type": "basic.info", + "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", + "type": "basic.outputLabel", "data": { - "info": "El tiempo de cada slot \nes T/4", - "readonly": true + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 496, - "y": 592 - }, - "size": { - "width": 192, - "height": 56 + "x": 1072, + "y": 528 } }, { - "id": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "type": "d2486582507737ac129f542fe8d9dc8012c017de", - "position": { - "x": 1064, - "y": 264 + "id": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 1424, + "y": 544 } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "port": "outlabel" + "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", + "type": "basic.inputLabel", + "data": { + "name": "exec", + "blockColor": "darkgreen" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 1768, + "y": 552 } }, { - "source": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "port": "inlabel" + "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "vertices": [] + "position": { + "x": 1072, + "y": 600 + } }, { - "source": { - "block": "4a238501-58e7-4093-be98-f52dad02f5ed", - "port": "outlabel" - }, - "target": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "a409d207-7594-4558-8e15-89712262cf5b", - "size": 2 + "id": "46c71683-c09b-4496-862d-267d2129dac0", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "fuchsia" }, - "vertices": [], - "size": 2 + "position": { + "x": 1496, + "y": 608 + } }, { - "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" - }, - "target": { - "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "port": "inlabel" + "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 480, + "y": 656 + } }, { - "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "port": "inlabel" + "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", + "type": "basic.outputLabel", + "data": { + "name": "next_ok", + "blockColor": "mediumvioletred" }, - "vertices": [] + "position": { + "x": 1072, + "y": 664 + } }, { - "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "size": 2 - }, - "target": { - "block": "95e3339b-7a06-4e83-8d47-2685027aa59a", - "port": "inlabel" + "id": "b979a290-8482-4816-8d68-f70939ce8171", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "fuchsia" }, - "vertices": [], - "size": 2 + "position": { + "x": 1368, + "y": 672 + } }, { - "source": { - "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", - "port": "outlabel" - }, - "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", + "type": "basic.inputLabel", + "data": { + "name": "next_ok", + "blockColor": "mediumvioletred" }, - "vertices": [] + "position": { + "x": 760, + "y": 672 + } }, { - "source": { - "block": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", - "port": "outlabel" - }, - "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + "id": "482450af-9607-41e7-8b88-fce7c6db39c4", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "vertices": [] + "position": { + "x": 480, + "y": 712 + } }, { - "source": { - "block": "97a1352f-3723-4569-a724-6d7e8e65dbe4", - "port": "outlabel" - }, - "target": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "9ef3833c-d07c-40de-9654-6347ecb2b415", - "size": 2 + "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1624, + "y": 536 }, - "vertices": [], - "size": 2 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "port": "inlabel" + "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1400, + "y": 296 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "89f974f9-a1ec-43fb-aab8-37840e57e515", - "port": "outlabel" + "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", + "type": "basic.info", + "data": { + "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", + "readonly": true }, - "target": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1448, + "y": -72 }, - "vertices": [] + "size": { + "width": 232, + "height": 64 + } }, { - "source": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" - }, - "target": { - "block": "845e659b-d1fb-411f-83c7-421979af60a0", - "port": "inlabel" + "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 752, + "y": 248 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "port": "out" + "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 608, + "y": 184 }, - "target": { - "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "a79604fd-0250-4441-a526-65bed00007ba", - "port": "outlabel" + "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 616, + "y": 320 }, - "target": { - "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "1f410454-644a-4dd3-b626-27b642833012", - "port": "outlabel" + "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", + "type": "basic.info", + "data": { + "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(max=1 while busy=1)", + "readonly": true }, - "target": { - "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "port": "in" + "position": { + "x": 880, + "y": -40 + }, + "size": { + "width": 352, + "height": 88 } }, { - "source": { - "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", - "port": "outlabel" + "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 928, + "y": 120 }, - "target": { - "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "4257b3cb-7350-44c5-85e5-b268731c9403", - "port": "outlabel" + "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", + "type": "basic.info", + "data": { + "info": "Machine ON \nand Last cycle", + "readonly": true }, - "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 752, + "y": 336 + }, + "size": { + "width": 160, + "height": 64 } }, { - "source": { - "block": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", - "port": "outlabel" + "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", + "type": "basic.info", + "data": { + "info": "Machine off", + "readonly": true }, - "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "0124987f-7749-493e-84ef-1f03b5fca187" + "position": { + "x": 752, + "y": 200 + }, + "size": { + "width": 120, + "height": 40 } }, { - "source": { - "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "port": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "size": 3 + "id": "00570e82-8758-4024-8196-b3ae57c2985f", + "type": "basic.info", + "data": { + "info": "Enable the start \nsignal", + "readonly": true }, - "target": { - "block": "8550eb51-bbcb-494b-b1d1-9c32b8499242", - "port": "inlabel" + "position": { + "x": 960, + "y": 200 }, - "vertices": [], - "size": 3 + "size": { + "width": 152, + "height": 56 + } }, { - "source": { - "block": "542753b4-d18d-4cae-b823-1e62a15408ff", - "port": "out", - "size": 4 + "id": "32c772b8-db82-47f0-b563-22e154322fff", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 616, + "y": 672 }, - "target": { - "block": "5a51839e-9918-4018-9120-de74b87deca0", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", + "type": "basic.info", + "data": { + "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", + "readonly": true }, - "size": 4 + "position": { + "x": 568, + "y": 552 + }, + "size": { + "width": 240, + "height": 80 + } }, { - "source": { - "block": "48b111a7-1476-4808-bbbb-310f82cc343d", - "port": "outlabel" + "id": "001bf141-0507-4212-8797-a815960a0876", + "type": "basic.info", + "data": { + "info": "Count cycle: n \n* 0: Initial cycle\n* 3: Last cycle", + "readonly": true }, - "target": { - "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "port": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", - "size": 4 + "position": { + "x": 1240, + "y": 712 }, - "size": 4 + "size": { + "width": 176, + "height": 72 + } }, { - "source": { - "block": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", - "port": "outlabel" + "id": "b177799e-2dcd-4f59-9012-4518cce2e023", + "type": "basic.info", + "data": { + "info": "Last cycle\n(n=3)", + "readonly": true }, - "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "5ded0703-b257-4d63-923d-e5443d342fb3", - "size": 3 + "position": { + "x": 1768, + "y": 440 }, - "vertices": [ - { - "x": 1000, - "y": 296 - } - ], - "size": 3 + "size": { + "width": 104, + "height": 48 + } }, { - "source": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "id": "b4c0c895-71eb-433d-8c53-333d684c887e", + "type": "basic.info", + "data": { + "info": "Not the last cycle \n(n<3) ", + "readonly": true }, - "target": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1792, + "y": 616 }, - "vertices": [] + "size": { + "width": 184, + "height": 64 + } + }, + { + "id": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 1448, + "y": 56 + }, + "size": { + "width": 96, + "height": 96 + } }, + { + "id": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "type": "26d21035920a0c1886ec4c0d302c096845a923ab", + "position": { + "x": 1232, + "y": 584 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ { "source": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", - "port": "constant-out" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "38335b0e-8d9b-4725-a45c-e0751762c6f8" + "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "port": "inlabel" + } + }, + { + "source": { + "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "port": "out" + }, + "target": { + "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "port": "outlabel" }, "target": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "port": "in" }, "vertices": [] }, { "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" + "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "port": "outlabel" }, "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "port": "in" + } + }, + { + "source": { + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "vertices": [ - { - "x": 832, - "y": 296 - } - ] + "target": { + "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "port": "47e6d0c1-84e5-4710-8a74-901692817ca9" + "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", + "port": "outlabel" }, "target": { - "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "port": "745d3113-3b1f-499a-a414-00e638cd932b" + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "c6cd8ad2-63c9-432d-9d07-c15dde843e36" }, - "vertices": [], - "size": 4 - } - ] - } - } - }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { - "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "vertices": [] + }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" + "source": { + "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", + "port": "outlabel" }, - "position": { - "x": 552, - "y": 128 + "target": { + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "a409d207-7594-4558-8e15-89712262cf5b", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "source": { + "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", + "port": "outlabel" }, - "position": { - "x": 136, - "y": 184 + "target": { + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", - "data": { - "name": "0" + "source": { + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 552, - "y": 240 + "target": { + "block": "1619287c-6197-46f8-95b5-e0a8ac103782", + "port": "inlabel" } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, - "position": { - "x": 296, - "y": 176 + "source": { + "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", + "port": "outlabel" }, - "size": { - "width": 208, - "height": 80 + "target": { + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } - } - ], - "wires": [ + }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", + "port": "inlabel" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "906db813-b008-4932-a78c-39f15e593412", + "port": "outlabel" }, "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" + "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "4c73bd1cd0a1c2400416fb1d09b1dc76faf3501b": { - "package": { - "name": "Mach-count-2-bit", - "version": "0.2", - "description": "Mach-count-2-bit: 2-bit count machine", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621619608318 - }, - "design": { - "graph": { - "blocks": [ + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, { - "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", + "port": "outlabel" }, - "position": { - "x": 1320, - "y": 8 + "target": { + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "1619287c-6197-46f8-95b5-e0a8ac103782", - "type": "basic.inputLabel", - "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", + "port": "outlabel" }, - "position": { - "x": 1600, - "y": 72 + "target": { + "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "906db813-b008-4932-a78c-39f15e593412", - "type": "basic.outputLabel", - "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1248, - "y": 72 + "target": { + "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", + "port": "inlabel" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "busy" + "source": { + "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", + "port": "outlabel" }, - "position": { - "x": 2064, - "y": 88 + "target": { + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", + "port": "outlabel" }, - "position": { - "x": 1904, - "y": 88 + "target": { + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", + "port": "outlabel" }, - "position": { - "x": 776, - "y": 104 + "target": { + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "type": "basic.inputLabel", - "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1072, - "y": 120 + "target": { + "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", + "port": "inlabel" } }, { - "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", + "port": "outlabel" }, - "position": { - "x": 1256, - "y": 128 + "target": { + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "482450af-9607-41e7-8b88-fce7c6db39c4", + "port": "outlabel" }, - "position": { - "x": 472, - "y": 184 + "target": { + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "c0f81a2d-40a8-4519-8066-cda7f2897e80", + "port": "outlabel" + }, + "target": { + "block": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "port": "in", + "size": 2 + }, + "size": 2 + }, + { + "source": { + "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", + "port": "outlabel" + }, + "target": { + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + } + }, + { + "source": { + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "b979a290-8482-4816-8d68-f70939ce8171", + "port": "inlabel" + } + }, + { + "source": { + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "size": 2 + }, + "target": { + "block": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", + "port": "inlabel" + }, + "size": 2 + }, + { + "source": { + "block": "46c71683-c09b-4496-862d-267d2129dac0", + "port": "outlabel" + }, + "target": { + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 1544, + "y": 432 + } + ] + }, + { + "source": { + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, + { + "source": { + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "26d21035920a0c1886ec4c0d302c096845a923ab": { + "package": { + "name": "Counter-rst-x02", + "version": "0.2", + "description": "Counter-rst-x02: 2-bits counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22340.958%22%20height=%22279.458%22%20viewBox=%220%200%2090.211745%2073.939987%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-55.5%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.785)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ { "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", @@ -21820,8 +20506,8 @@ "clock": true }, "position": { - "x": 120, - "y": 200 + "x": 168, + "y": -208 } }, { @@ -21832,568 +20518,510 @@ "name": "clk" }, "position": { - "x": 272, - "y": 200 + "x": 304, + "y": -208 } }, { - "id": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "type": "basic.output", + "id": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "type": "basic.input", "data": { - "name": "n", - "virtual": true, - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "rst", + "clock": false }, "position": { - "x": 2064, - "y": 200 + "x": 168, + "y": -136 } }, { - "id": "c0f81a2d-40a8-4519-8066-cda7f2897e80", + "id": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 312, + "y": -136 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", "type": "basic.outputLabel", "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1904, - "y": 200 + "x": 496, + "y": 24 } }, { - "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "id": "40941bd2-014e-4fca-8f0f-7769b8567ac0", "type": "basic.output", "data": { - "name": "exec" + "name": "", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 2064, - "y": 280 + "x": 1176, + "y": 80 } }, { - "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "id": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", "type": "basic.outputLabel", "data": { - "name": "exec", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1904, - "y": 280 + "x": 1008, + "y": 80 } }, { - "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "type": "basic.outputLabel", + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 1264, - "y": 280 + "x": 360, + "y": 96 } }, { - "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", - "type": "basic.input", + "id": "94fe2c8f-3a97-42fb-8346-977f7731ca64", + "type": "basic.outputLabel", "data": { - "name": "nc", - "clock": false + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, "position": { - "x": 120, - "y": 288 + "x": 488, + "y": 96 } }, { - "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", + "id": "b10c8b56-197d-4efa-8511-172627cbe0ed", "type": "basic.outputLabel", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 464, - "y": 304 + "x": 48, + "y": 152 } }, { - "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "type": "basic.outputLabel", + "id": "b8661772-15e0-411e-bcc1-716874795e2a", + "type": "basic.inputLabel", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1264, - "y": 352 + "x": 800, + "y": 168 } }, { - "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", "type": "basic.output", "data": { - "name": "done" + "name": "max" }, "position": { - "x": 2064, - "y": 360 + "x": 1176, + "y": 168 } }, { - "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 1896, - "y": 360 + "x": 1016, + "y": 168 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", "type": "basic.input", "data": { - "name": "start", + "name": "cnt", "clock": false }, "position": { - "x": 120, - "y": 376 + "x": 208, + "y": 312 } }, { - "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", "position": { - "x": 272, - "y": 376 + "x": 200, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "type": "basic.outputLabel", - "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "type": "4cc432f78b18cdc2f1f323515252828b321ff90d", "position": { - "x": 464, - "y": 384 + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 } - }, + } + ], + "wires": [ { - "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "type": "basic.input", - "data": { - "name": "next", - "clock": false + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 120, - "y": 448 - } + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "next", - "oldBlockColor": "fuchsia" + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, - "position": { - "x": 272, - "y": 448 + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "type": "basic.inputLabel", - "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 2 }, - "position": { - "x": 1768, - "y": 464 - } + "target": { + "block": "b8661772-15e0-411e-bcc1-716874795e2a", + "port": "inlabel" + }, + "size": 2 }, { - "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", + "port": "outlabel" }, - "position": { - "x": 1072, - "y": 528 + "target": { + "block": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "port": "in", + "size": 2 + }, + "size": 2 + }, + { + "source": { + "block": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "port": "outlabel" + }, + "target": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "5e178803-3b15-4a9f-a784-a729479a892c", + "size": 2 + }, + "size": 2 + }, + { + "source": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" } }, { - "id": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", - "type": "basic.inputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" }, - "position": { - "x": 1424, - "y": 544 + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" } }, { - "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "type": "basic.inputLabel", - "data": { - "name": "exec", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "port": "out" }, - "position": { - "x": 1768, - "y": 552 + "target": { + "block": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "94fe2c8f-3a97-42fb-8346-977f7731ca64", + "port": "outlabel" + }, + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 600, + "y": 160 + } + ] + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { - "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "type": "basic.outputLabel", + "source": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" + }, + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "size": 2 + } + ] + } + } + }, + "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { + "package": { + "name": "Inc1-2bits", + "version": "0.1", + "description": "Inc1-2bit: Increment a 2-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "c" }, "position": { - "x": 1072, - "y": 600 + "x": 624, + "y": -168 } }, { - "id": "46c71683-c09b-4496-862d-267d2129dac0", - "type": "basic.outputLabel", + "id": "5e178803-3b15-4a9f-a784-a729479a892c", + "type": "basic.input", "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1496, - "y": 608 + "x": 272, + "y": -152 } }, { - "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "type": "basic.outputLabel", + "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", + "type": "basic.output", "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 480, - "y": 656 + "x": 624, + "y": -104 } }, { - "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "type": "basic.outputLabel", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "value": "1", + "local": true }, "position": { - "x": 1072, - "y": 664 + "x": 456, + "y": -256 } }, { - "id": "b979a290-8482-4816-8d68-f70939ce8171", - "type": "basic.inputLabel", - "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", "position": { - "x": 1368, - "y": 672 + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "type": "basic.inputLabel", - "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 760, - "y": 672 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "482450af-9607-41e7-8b88-fce7c6db39c4", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "5e178803-3b15-4a9f-a784-a729479a892c", + "port": "out" }, - "position": { - "x": 480, - "y": 712 - } + "target": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" + }, + "size": 2 }, { - "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "type": "370abb401e524efd3125464b094b41328bfde9b4", - "position": { - "x": 1624, - "y": 536 + "source": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", + "port": "in" + }, + "size": 2 }, { - "id": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", - "position": { - "x": 1448, - "y": 56 + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" } - }, + } + ] + } + } + }, + "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { + "package": { + "name": "AdderK-2bits", + "version": "0.1", + "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, "position": { - "x": 1400, - "y": 296 + "x": 624, + "y": -168 + } + }, + { + "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "type": "basic.output", + "data": { + "name": "s", + "range": "[1:0]", + "size": 2 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 624, + "y": -104 } }, { - "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", - "type": "basic.info", + "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", + "type": "basic.input", "data": { - "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", - "readonly": true + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1448, + "x": 296, "y": -72 - }, - "size": { - "width": 232, - "height": 64 } }, { - "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 752, - "y": 248 + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 288, + "y": -272 } }, { - "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", "position": { - "x": 608, - "y": 184 + "x": 288, + "y": -168 }, "size": { "width": 96, @@ -22401,738 +21029,692 @@ } }, { - "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "3451f28c-1594-4ddd-86de-367246570bbd", + "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", "position": { - "x": 616, - "y": 320 + "x": 456, + "y": -152 }, "size": { "width": 96, "height": 64 } - }, + } + ], + "wires": [ { - "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", - "type": "basic.info", - "data": { - "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(max=1 while busy=1)", - "readonly": true - }, - "position": { - "x": 880, - "y": -40 + "source": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 352, - "height": 88 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 928, - "y": 120 + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { - "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", - "type": "basic.info", - "data": { - "info": "Machine ON \nand Last cycle", - "readonly": true + "source": { + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" }, - "position": { - "x": 752, - "y": 336 + "target": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" }, - "size": { - "width": 160, - "height": 64 - } + "size": 2 }, { - "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", - "type": "basic.info", - "data": { - "info": "Machine off", - "readonly": true + "source": { + "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", + "port": "out" }, - "position": { - "x": 752, - "y": 200 + "target": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" }, - "size": { - "width": 120, - "height": 40 - } + "size": 2 }, { - "id": "00570e82-8758-4024-8196-b3ae57c2985f", - "type": "basic.info", + "source": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" + }, + "target": { + "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "cea0c26776490be8bc88be8a8e38316b913c3f9d": { + "package": { + "name": "Adder-2bits", + "version": "0.1", + "description": "Adder-2bits: Adder of two operands of 2 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "info": "Enable the start \nsignal", - "readonly": true + "name": "c" }, "position": { - "x": 960, - "y": 200 - }, - "size": { - "width": 152, - "height": 56 + "x": 672, + "y": -400 } }, { - "id": "32c772b8-db82-47f0-b563-22e154322fff", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 672 + "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", + "type": "basic.input", + "data": { + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -56, + "y": -344 } }, { - "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", - "type": "basic.info", + "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "type": "basic.output", "data": { - "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", - "readonly": true + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 568, - "y": 552 - }, - "size": { - "width": 240, - "height": 80 + "x": 800, + "y": -272 } }, { - "id": "001bf141-0507-4212-8797-a815960a0876", - "type": "basic.info", + "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "type": "basic.input", "data": { - "info": "Count cycle: n \n* 0: Initial cycle\n* 3: Last cycle", - "readonly": true + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1240, - "y": 712 + "x": -64, + "y": -144 + } + }, + { + "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", + "position": { + "x": 88, + "y": -344 }, "size": { - "width": 176, - "height": 72 + "width": 96, + "height": 64 } }, { - "id": "b177799e-2dcd-4f59-9012-4518cce2e023", - "type": "basic.info", - "data": { - "info": "Last cycle\n(n=3)", - "readonly": true - }, + "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1768, - "y": 440 + "x": 80, + "y": -144 }, "size": { - "width": 104, - "height": 48 + "width": 96, + "height": 64 } }, { - "id": "b4c0c895-71eb-433d-8c53-333d684c887e", - "type": "basic.info", - "data": { - "info": "Not the last cycle \n(n<3) ", - "readonly": true - }, + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 1792, - "y": 616 + "x": 320, + "y": -144 }, "size": { - "width": 184, + "width": 96, "height": 64 } }, { - "id": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "type": "ebf9834f0b24c72d95466bb6910de786402ea59e", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1232, - "y": 584 + "x": 472, + "y": -328 }, "size": { "width": 96, "height": 96 } + }, + { + "id": "42fff3e2-b145-45d6-822e-c191bed120f5", + "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", + "position": { + "x": 640, + "y": -272 + }, + "size": { + "width": 96, + "height": 64 + } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", "port": "out" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "a409d207-7594-4558-8e15-89712262cf5b" }, - "vertices": [] + "size": 2 }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", "port": "out" }, "target": { - "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "port": "inlabel" - } + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 }, { "source": { - "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "port": "outlabel" + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "port": "out" + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "port": "inlabel" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "vertices": [] + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } }, { "source": { - "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "port": "outlabel" + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", - "port": "in" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" }, - "vertices": [] + "vertices": [ + { + "x": 264, + "y": -176 + } + ] }, { "source": { - "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "port": "outlabel" + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "port": "in" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "port": "inlabel" - }, - "vertices": [] + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } }, { "source": { - "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "port": "outlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "c6cd8ad2-63c9-432d-9d07-c15dde843e36" - }, - "vertices": [] + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } }, { "source": { - "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "port": "outlabel" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } }, { "source": { - "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "port": "outlabel" + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" }, "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" - } - }, + "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "target": { - "block": "1619287c-6197-46f8-95b5-e0a8ac103782", - "port": "inlabel" + "position": { + "x": 280, + "y": -224 } }, { - "source": { - "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" }, - "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 616, + "y": -176 } }, { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "target": { - "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "port": "inlabel" + "position": { + "x": 280, + "y": -152 } }, { - "source": { - "block": "906db813-b008-4932-a78c-39f15e593412", - "port": "outlabel" + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 616, + "y": -96 } }, { - "source": { - "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "port": "outlabel" + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 96 } }, { - "source": { - "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "port": "outlabel" + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "port": "outlabel" + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, "target": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "port": "inlabel" - } + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] }, { "source": { - "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "port": "outlabel" + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "port": "outlabel" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "port": "outlabel" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" } - }, + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" }, - "target": { - "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "port": "inlabel" + "position": { + "x": 504, + "y": -208 } }, { - "source": { - "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "port": "outlabel" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 136, + "y": -192 } }, { - "source": { - "block": "482450af-9607-41e7-8b88-fce7c6db39c4", - "port": "outlabel" + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 272, + "y": -192 } }, { - "source": { - "block": "c0f81a2d-40a8-4519-8066-cda7f2897e80", - "port": "outlabel" - }, - "target": { - "block": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "port": "in", - "size": 2 - }, - "size": 2 - }, - { - "source": { - "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "port": "outlabel" - }, - "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" - } - }, - { - "source": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" - }, - "target": { - "block": "b979a290-8482-4816-8d68-f70939ce8171", - "port": "inlabel" - } - }, - { - "source": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "size": 2 - }, - "target": { - "block": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", - "port": "inlabel" - }, - "size": 2 - }, - { - "source": { - "block": "46c71683-c09b-4496-862d-267d2129dac0", - "port": "outlabel" - }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 1544, - "y": 432 - } - ] - }, - { - "source": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, - { - "source": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - } - ] - } - } - }, - "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "c" }, "position": { - "x": 216, - "y": -40 + "x": 1112, + "y": -176 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "blockColor": "fuchsia", + "name": "b" }, "position": { - "x": 368, - "y": -40 + "x": 504, + "y": -144 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", "data": { - "name": "" + "name": "b", + "clock": false }, "position": { - "x": 1496, - "y": 40 + "x": 136, + "y": -120 } }, { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1352, - "y": 40 + "x": 272, + "y": -120 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "navy", + "name": "ci", "oldBlockColor": "fuchsia" }, "position": { - "x": 1008, - "y": 136 + "x": 648, + "y": -96 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", "type": "basic.input", "data": { - "name": "set", + "name": "ci", "clock": false }, "position": { - "x": 216, - "y": 136 - } - }, - { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", - "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 384, - "y": 136 + "x": 136, + "y": -40 } }, { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", "type": "basic.inputLabel", "data": { "blockColor": "navy", - "name": "reset", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 384, - "y": 216 + "x": 272, + "y": -40 } }, { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "type": "basic.input", + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", "data": { - "name": "reset", - "clock": false + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 224, - "y": 216 + "x": 480, + "y": 24 } }, { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "name": "s" }, "position": { - "x": 1304, - "y": 232 + "x": 992, + "y": 56 } }, { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "id": "edf4525b-edd8-40fa-b784-631b102fb907", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "name": "b" }, "position": { - "x": 640, - "y": 248 + "x": 480, + "y": 88 } }, { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", "type": "basic.outputLabel", "data": { - "blockColor": "royalblue", - "name": "set", + "blockColor": "navy", + "name": "ci", "oldBlockColor": "fuchsia" }, "position": { - "x": 816, - "y": 344 + "x": 648, + "y": 120 } }, { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" - }, + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { "x": 648, - "y": 344 + "y": 40 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { - "x": 800, - "y": 136 + "x": 832, + "y": 56 }, "size": { "width": 96, @@ -23140,23 +21722,23 @@ } }, { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 800, - "y": 232 + "x": 808, + "y": -80 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { "x": 632, - "y": 136 + "y": -192 }, "size": { "width": 96, @@ -23164,27 +21746,11 @@ } }, { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", - "data": { - "info": "Priority on set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 - }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "97ed2911-3339-463d-9f82-db46d4404419", - "type": "db33ba62b645fbf5ad349b666b64662512b13cdd", + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 1176, - "y": 232 + "x": 960, + "y": -176 }, "size": { "width": 96, @@ -23195,447 +21761,454 @@ "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", + "block": "65936289-69ce-4e26-be4e-44f8a3706934", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" }, "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } }, { "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", "port": "outlabel" }, "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", + "block": "edf4525b-edd8-40fa-b784-631b102fb907", "port": "outlabel" }, "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", "port": "outlabel" }, "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" }, "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", - "port": "out" + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" }, "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } }, { "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "vertices": [] + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "db33ba62b645fbf5ad349b666b64662512b13cdd": { + "4cc432f78b18cdc2f1f323515252828b321ff90d": { "package": { - "name": "sys-DFF", - "version": "2.1", - "description": "System - D Flip-flop. Capture data every system clock cycle", + "name": "02-Reg-rst", + "version": "0.8", + "description": "02-Reg-rst: 2 bits Register with reset. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 208, - "y": 160 + "x": 560, + "y": 64 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 816, - "y": 224 + "x": 1184, + "y": 72 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "", - "clock": false + "name": "nc" }, "position": { - "x": 208, - "y": 304 + "x": 1184, + "y": 144 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "name": "", - "value": "0", - "local": false + "name": "rst", + "clock": false }, "position": { - "x": 512, - "y": 64 + "x": 560, + "y": 168 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "name": "", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 + "x": 1184, + "y": 224 } }, { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "info": "Parameter: Initial value", - "readonly": true + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 + "x": 560, + "y": 272 } }, { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "info": "System clock", - "readonly": true + "name": "load", + "clock": false }, "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 + "x": 560, + "y": 376 } }, { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "info": "Input data", - "readonly": true + "name": "nc" }, "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 + "x": 1184, + "y": 376 } }, { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "info": "Output", - "readonly": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 + "x": 864, + "y": -80 } }, { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[1:0]", + "size": 2 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[1:0]", + "size": 2 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 2;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 144, - "y": -136 + "x": 720, + "y": 40 }, "size": { - "width": 488, - "height": 104 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "port": "q" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "port": "in" - } + }, + "size": 2 }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "port": "d" + }, + "size": 2 + }, + { + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } } ] } } }, - "ebf9834f0b24c72d95466bb6910de786402ea59e": { + "076e4991a6a72fa34566f59fae65e81138cbffda": { "package": { - "name": "Counter-rst-x02", - "version": "0.1", - "description": "Counter-rst-x02: 2-bits counter with reset", + "name": "Sys-Delay-xN-3bits", + "version": "0.3", + "description": "Sys-Delay-xN-3bits: The input tic is delayed N cycles", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22340.958%22%20height=%22279.458%22%20viewBox=%220%200%2090.211745%2073.939987%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-55.5%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.785)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "name": "state", + "blockColor": "navy" }, "position": { - "x": 168, - "y": -208 + "x": 1104, + "y": 40 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "busy" }, "position": { - "x": 304, - "y": -208 + "x": 1264, + "y": 40 } }, { - "id": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "id": "0124987f-7749-493e-84ef-1f03b5fca187", "type": "basic.input", "data": { - "name": "rst", - "clock": false + "name": "", + "clock": true }, "position": { - "x": 168, - "y": -136 + "x": 112, + "y": 64 } }, { - "id": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "id": "12f80074-62b7-463f-8191-1301ae02e38b", "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", - "oldBlockColor": "fuchsia" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 312, - "y": -136 + "x": 248, + "y": 64 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "id": "b138b609-b509-4583-a8a5-c012a228bae2", "type": "basic.outputLabel", "data": { "blockColor": "yellow", @@ -23643,1295 +22216,1377 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 496, - "y": 24 + "x": 400, + "y": 80 } }, { - "id": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "type": "basic.output", + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "state", + "blockColor": "navy" }, "position": { - "x": 1176, - "y": 80 + "x": 696, + "y": 144 } }, { - "id": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", "type": "basic.outputLabel", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 1008, - "y": 80 + "x": 400, + "y": 144 } }, { - "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "type": "basic.inputLabel", + "id": "5ded0703-b257-4d63-923d-e5443d342fb3", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "max" + "name": "N", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 360, - "y": 96 + "x": 104, + "y": 152 } }, { - "id": "94fe2c8f-3a97-42fb-8346-977f7731ca64", - "type": "basic.outputLabel", + "id": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", + "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 488, - "y": 96 + "name": "N", + "range": "[2:0]", + "blockColor": "darkgreen", + "size": 3 + }, + "position": { + "x": 240, + "y": 152 } }, { - "id": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", "type": "basic.outputLabel", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 48, - "y": 152 + "x": 400, + "y": 208 } }, { - "id": "b8661772-15e0-411e-bcc1-716874795e2a", - "type": "basic.inputLabel", + "id": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", + "type": "basic.outputLabel", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "n", + "range": "[2:0]", + "blockColor": "springgreen", + "size": 3 }, "position": { - "x": 808, - "y": 152 + "x": 1112, + "y": 240 } }, { - "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "id": "a4f0683c-ab82-451f-93f7-d4766d423c6a", "type": "basic.output", "data": { - "name": "max" + "name": "n", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 1176, - "y": 168 + "x": 1264, + "y": 240 } }, { - "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", - "type": "basic.outputLabel", + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "max" + "name": "start", + "clock": false }, "position": { - "x": 1016, - "y": 168 + "x": 104, + "y": 248 } }, { - "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", - "type": "basic.input", + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", "data": { - "name": "cnt", - "clock": false + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 208, - "y": 312 + "x": 240, + "y": 248 } }, { - "id": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", - "position": { - "x": 200, - "y": 152 + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 568, + "y": 288 } }, { - "id": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "type": "4a9bbe24e5e4ea1ed74bfa1dce7eee78f69eaa19", - "position": { - "x": 632, - "y": 120 + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 568, + "y": 360 } - } - ], - "wires": [ + }, { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [] + "position": { + "x": 1272, + "y": 376 + } }, { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1104, + "y": 376 } }, { - "source": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", - "size": 2 - }, - "target": { - "block": "b8661772-15e0-411e-bcc1-716874795e2a", - "port": "inlabel" + "id": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[2:0]", + "blockColor": "springgreen", + "size": 3 }, - "size": 2 + "position": { + "x": 912, + "y": 376 + } }, { - "source": { - "block": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", - "port": "outlabel" - }, - "target": { - "block": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "port": "in", - "size": 2 + "id": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[2:0]", + "blockColor": "darkgreen", + "size": 3 }, - "size": 2 + "position": { + "x": 568, + "y": 424 + } }, { - "source": { - "block": "b10c8b56-197d-4efa-8511-172627cbe0ed", - "port": "outlabel" - }, - "target": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "size": 2 + "position": { + "x": 912, + "y": 440 + } }, { - "source": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" }, - "target": { - "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "port": "inlabel" + "position": { + "x": 568, + "y": 496 } }, { - "source": { - "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", - "port": "outlabel" + "id": "70ef2353-3812-45c6-ba9f-e427dfefd973", + "type": "basic.constant", + "data": { + "name": "INIT", + "value": "1", + "local": true }, - "target": { - "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", - "port": "in" + "position": { + "x": 744, + "y": 280 } }, { - "source": { - "block": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", - "port": "out" + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", - "port": "inlabel" + "position": { + "x": 120, + "y": 32 }, - "vertices": [] + "size": { + "width": 120, + "height": 40 + } }, { - "source": { - "block": "94fe2c8f-3a97-42fb-8346-977f7731ca64", - "port": "outlabel" + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "64b7a923-b0af-4e51-89b5-56bfb3073921" + "position": { + "x": 112, + "y": 224 }, - "vertices": [ - { - "x": 600, - "y": 160 - } - ] + "size": { + "width": 128, + "height": 40 + } }, { - "source": { - "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", - "port": "out" + "id": "29ef30d2-5a8e-484a-88bf-2923c96d0cc0", + "type": "basic.info", + "data": { + "info": "Current working cycle: 1-3", + "readonly": true }, - "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + "position": { + "x": 1136, + "y": 192 + }, + "size": { + "width": 224, + "height": 40 } }, { - "source": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true }, - "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "17fa5936-7abd-4a84-944a-0c758720bdcf" + "position": { + "x": 112, + "y": 136 }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 152, + "height": 40 + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", "data": { - "name": "c" + "info": "Delay finished!", + "readonly": true }, "position": { - "x": 624, - "y": -168 + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 } }, { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "info": "Machine state: ON/OFF", + "readonly": true }, "position": { - "x": 272, - "y": -152 + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 } }, { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "info": "RS Flip-Flop", + "readonly": true }, "position": { - "x": 624, - "y": -104 + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", "data": { - "name": "", - "value": "1", - "local": true + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true }, "position": { - "x": 456, - "y": -256 + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 } }, { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", + "id": "5ded0cb9-7da5-4360-a398-bcccdd86ba2d", + "type": "basic.info", + "data": { + "info": "2-bits counter", + "readonly": true + }, "position": { - "x": 456, - "y": -152 + "x": 744, + "y": 512 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 840, + "y": 552 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "2a740374-b37a-43be-a1ee-d3156db6698e", + "type": "basic.info", + "data": { + "info": "Initial value", + "readonly": true + }, + "position": { + "x": 856, + "y": 304 + }, + "size": { + "width": 144, + "height": 40 + } + }, + { + "id": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "type": "43276d299252b2ff74b309e99c9d9117fd63c2bb", + "position": { + "x": 744, + "y": 376 }, "size": { "width": 96, - "height": 64 + "height": 128 + } + }, + { + "id": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", "port": "out" }, "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" - }, - "size": 2 + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } }, { "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" }, "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" - }, - "size": 2 + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" } - } - ] - } - } - }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { - "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" }, - "position": { - "x": 624, - "y": -168 + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" }, - "position": { - "x": 624, - "y": -104 - } + "target": { + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] }, { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" }, - "position": { - "x": 296, - "y": -72 + "target": { + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" }, - "position": { - "x": 288, - "y": -272 + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" } }, { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" } - } - ], - "wires": [ + }, { "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] }, { "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" }, "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] }, { "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" + "block": "5ded0703-b257-4d63-923d-e5443d342fb3", + "port": "out", + "size": 3 }, "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" + "block": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", + "port": "inlabel" }, - "size": 2 + "size": 3 }, { "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" + "block": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", + "port": "outlabel" }, "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" + "block": "a4f0683c-ab82-451f-93f7-d4766d423c6a", + "port": "in", + "size": 3 }, - "size": 2 + "size": 3 }, { "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "size": 3 }, "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" + "block": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "port": "inlabel" }, - "size": 2 + "size": 3 + }, + { + "source": { + "block": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "port": "outlabel" + }, + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "70ef2353-3812-45c6-ba9f-e427dfefd973", + "port": "constant-out" + }, + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "e1493cee-3497-43e8-83c4-65fcadb5c96a" + } } ] } } }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { + "43276d299252b2ff74b309e99c9d9117fd63c2bb": { "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + "name": "Counter-M-rst-x03", + "version": "0.3", + "description": "Counter-M-x03: 3-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 }, "design": { "graph": { "blocks": [ { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { "name": "", - "range": "[1:0]", - "size": 2 + "clock": true }, "position": { - "x": 952, - "y": 248 + "x": -24, + "y": -296 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 728, - "y": 128 + "x": 104, + "y": -296 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "8ebe14ec-a9f3-470e-9e9e-1ae4211adf04", + "type": "basic.outputLabel", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 + "x": 1016, + "y": -280 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "type": "basic.output", + "data": { + "name": "", + "range": "[2:0]", + "size": 3 }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 1176, + "y": -280 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": -24, + "y": -232 + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", "data": { - "name": "c" + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, "position": { - "x": 672, - "y": -400 + "x": 104, + "y": -232 } }, { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "name": "max" }, "position": { - "x": -56, - "y": -344 + "x": 1176, + "y": -208 } }, { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 800, - "y": -272 + "x": 1016, + "y": -208 } }, { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "id": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", "type": "basic.input", "data": { - "name": "", - "range": "[1:0]", + "name": "max", + "range": "[2:0]", "clock": false, - "size": 2 + "size": 3 }, "position": { - "x": -64, - "y": -144 + "x": -24, + "y": -160 } }, { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 88, - "y": -344 + "id": "4c3b12b9-7b2a-45bf-af35-dcdd09b13004", + "type": "basic.inputLabel", + "data": { + "name": "maxi", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 104, + "y": -160 } }, { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 80, - "y": -144 + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -24, + "y": -96 } }, { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 104, + "y": -96 } }, { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 472, - "y": -328 + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 488, + "y": 0 } }, { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 640, - "y": -272 + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 304, + "y": 40 } - } - ], - "wires": [ - { - "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "port": "out" - }, - "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 }, { - "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" - }, - "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "id": "5c4d1632-84f3-4f4b-a2b7-e0ddb75c8091", + "type": "basic.outputLabel", + "data": { + "name": "maxi", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "size": 2 + "position": { + "x": 736, + "y": 48 + } }, { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + "position": { + "x": 32, + "y": 136 } }, { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1056, + "y": 152 } }, { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "position": { + "x": 32, + "y": 192 } }, { - "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + "id": "3fed749c-9501-4fc1-9581-d14943bf632c", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "vertices": [ - { - "x": 264, - "y": -176 - } - ] + "position": { + "x": 168, + "y": 264 + } }, { - "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "id": "54b05785-4b42-4865-a1f8-56ac74a42c9b", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 792, + "y": 320 } }, { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 480, + "y": 336 } }, { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "e1493cee-3497-43e8-83c4-65fcadb5c96a", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "position": { + "x": 632, + "y": 16 } }, { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "5147675d-38b3-4889-b4c5-9996cb982a1d", + "type": "basic.info", + "data": { + "info": "2-bits Comparator", + "readonly": true }, - "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "position": { + "x": 888, + "y": 232 + }, + "size": { + "width": 176, + "height": 40 } }, { - "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true }, - "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" + "position": { + "x": 1072, + "y": 104 }, - "size": 2 - } - ] - } - } - }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { - "package": { - "name": "Adder-1bit", - "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 192, + "height": 56 + } + }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "2-bits register", + "readonly": true }, "position": { - "x": 280, - "y": -224 + "x": 640, + "y": 248 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", "data": { - "name": "c" + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true }, "position": { - "x": 616, - "y": -176 + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 } }, { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "", - "clock": false + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 280, - "y": -152 + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", "data": { - "name": "s" + "info": "External reset", + "readonly": true }, "position": { - "x": 616, - "y": -96 + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 } }, { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "id": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", "position": { - "x": 456, - "y": -168 + "x": 896, + "y": 152 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "id": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "type": "097e2f8e1d86d74bb4b81e142ef453a72f74dab2", "position": { - "x": 304, - "y": -64 + "x": 320, + "y": 264 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "type": "94f5ce7eee54d456c6cfe8c626023547cbad8b21", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ { "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" } }, { "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" } }, { "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" }, "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" } - } - ] - } - } - }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { - "package": { - "name": "AdderC-1bit", - "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" }, - "position": { - "x": 504, - "y": -208 + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" }, - "position": { - "x": 136, - "y": -192 + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" }, - "position": { - "x": 272, - "y": -192 + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" }, - "position": { - "x": 1112, - "y": -176 - } + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" }, - "position": { - "x": 504, - "y": -144 + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "type": "basic.input", - "data": { - "name": "b", - "clock": false + "source": { + "block": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", + "port": "out", + "size": 3 }, - "position": { - "x": 136, - "y": -120 - } + "target": { + "block": "4c3b12b9-7b2a-45bf-af35-dcdd09b13004", + "port": "inlabel" + }, + "size": 3 }, { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "source": { + "block": "5c4d1632-84f3-4f4b-a2b7-e0ddb75c8091", + "port": "outlabel" }, - "position": { - "x": 272, - "y": -120 - } + "target": { + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "size": 3 + }, + "vertices": [ + { + "x": 848, + "y": 112 + } + ], + "size": 3 }, { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" + "source": { + "block": "3fed749c-9501-4fc1-9581-d14943bf632c", + "port": "outlabel" }, - "position": { - "x": 648, - "y": -96 - } + "target": { + "block": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "port": "65ab43b8-eebf-407e-a137-91891b821643", + "size": 3 + }, + "size": 3 }, { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", - "data": { - "name": "ci", - "clock": false + "source": { + "block": "8ebe14ec-a9f3-470e-9e9e-1ae4211adf04", + "port": "outlabel" }, - "position": { - "x": 136, - "y": -40 - } + "target": { + "block": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "port": "in", + "size": 3 + }, + "size": 3 }, { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 3 }, - "position": { - "x": 272, - "y": -40 - } + "target": { + "block": "54b05785-4b42-4865-a1f8-56ac74a42c9b", + "port": "inlabel" + }, + "size": 3 }, { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a" + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 480, - "y": 24 + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" } }, { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", - "data": { - "name": "s" + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 992, - "y": 56 + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b" + "source": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5" }, - "position": { - "x": 480, - "y": 88 - } + "target": { + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" + }, + "size": 3 }, { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "ci", - "oldBlockColor": "fuchsia" + "source": { + "block": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "port": "f854d138-c12e-4178-8523-b71331cd29a6" }, - "position": { - "x": 648, - "y": 120 - } + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 560, + "y": 264 + } + ], + "size": 3 }, { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 648, - "y": 40 + "source": { + "block": "e1493cee-3497-43e8-83c4-65fcadb5c96a", + "port": "constant-out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" } - }, - { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", - "position": { - "x": 832, - "y": 56 + } + ] + } + } + }, + "097e2f8e1d86d74bb4b81e142ef453a72f74dab2": { + "package": { + "name": "Inc1-3bits", + "version": "0.1", + "description": "Inc1-3bit: Increment a 3-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 624, + "y": -168 } }, { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 808, - "y": -80 + "id": "65ab43b8-eebf-407e-a137-91891b821643", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 272, + "y": -152 } }, { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "f854d138-c12e-4178-8523-b71331cd29a6", + "type": "basic.output", + "data": { + "name": "s", + "range": "[2:0]", + "size": 3 + }, "position": { "x": 632, - "y": -192 + "y": -96 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 456, + "y": -256 } }, { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "34e391c9-ac69-470b-863d-5482a02b3438", + "type": "75e5396495e2cfaa31e14ecf930abc2d3bdd3866", "position": { - "x": 960, - "y": -176 + "x": 456, + "y": -152 }, "size": { "width": 96, @@ -24942,220 +23597,286 @@ "wires": [ { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", - "port": "inlabel" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" } }, { "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "b476ef80-6022-4dd3-962e-9efc90d730c5" }, "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", - "port": "inlabel" - } + "block": "f854d138-c12e-4178-8523-b71331cd29a6", + "port": "in" + }, + "size": 3 }, { "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", - "port": "outlabel" + "block": "65ab43b8-eebf-407e-a137-91891b821643", + "port": "out" }, "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } - }, + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "9f76c005-39aa-4ac8-842a-6249a18711c8" + }, + "size": 3 + } + ] + } + } + }, + "75e5396495e2cfaa31e14ecf930abc2d3bdd3866": { + "package": { + "name": "AdderK-3bits CLONE", + "version": "0.1", + "description": "AdderK-3bit: Adder of 3-bit operand and 3-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" }, - "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 624, + "y": -168 } }, { - "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" + "id": "b476ef80-6022-4dd3-962e-9efc90d730c5", + "type": "basic.output", + "data": { + "name": "s", + "range": "[2:0]", + "size": 3 }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 624, + "y": -64 } }, { - "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", - "port": "outlabel" + "id": "9f76c005-39aa-4ac8-842a-6249a18711c8", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 304, + "y": -48 } }, { - "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 288, + "y": -272 } }, { - "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" + "id": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "position": { + "x": 288, + "y": -168 }, - "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "type": "4898bbaf0126034e1995d1777b4faa914cf1ee3a", + "position": { + "x": 456, + "y": -152 }, - "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" } }, { "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" }, "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "port": "50004d4c-3153-4049-88f7-bad5e748383b" }, "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "c776ec7e-ada9-42ea-be1c-5104577d4e5e" + }, + "size": 3 }, { "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "9f76c005-39aa-4ac8-842a-6249a18711c8", + "port": "out" }, "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "2f4012a8-b7ac-481d-9158-bfeb88e44d67" + }, + "size": 3 }, { "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "f33cb471-7816-45bf-b02b-a6c46f66a592" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "b476ef80-6022-4dd3-962e-9efc90d730c5", "port": "in" - } + }, + "size": 3 } ] } } }, - "4a9bbe24e5e4ea1ed74bfa1dce7eee78f69eaa19": { + "4898bbaf0126034e1995d1777b4faa914cf1ee3a": { "package": { - "name": "reg-rst-2-bits", + "name": "Adder-3bits", "version": "0.1", - "description": "reg-rst-2-bits: 2-bits register with reset", + "description": "Adder-3bits: Adder of two operands of 3 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621769699463 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 216, - "y": -144 + "x": 456, + "y": -584 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "deeppink", + "name": "a2", "pins": [ { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 368, - "y": -144 + "x": -32, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 944, + "y": -552 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 } }, { - "id": "64b7a923-b0af-4e51-89b5-56bfb3073921", + "id": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", "type": "basic.input", "data": { - "name": "rst", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 224, - "y": -64 + "x": -312, + "y": -496 } }, { - "id": "1dd13da9-98e7-470e-be72-531ccee14128", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", + "blockColor": "deeppink", + "name": "a1", "pins": [ { "index": "0", @@ -25164,109 +23885,113 @@ } ], "virtual": true, - "oldBlockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 368, - "y": -64 + "x": -32, + "y": -496 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "deeppink", + "name": "a1", "oldBlockColor": "fuchsia" }, "position": { - "x": 624, - "y": 16 + "x": 328, + "y": -440 } }, { - "id": "2249fbd9-40b6-447f-ad45-e4a2ea0a880c", - "type": "basic.outputLabel", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 624, - "y": 80 + "x": -32, + "y": -432 } }, { - "id": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", - "type": "basic.output", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "blockColor": "fuchsia", + "name": "b1" }, "position": { - "x": 1128, - "y": 144 + "x": 328, + "y": -376 } }, { - "id": "17fa5936-7abd-4a84-944a-0c758720bdcf", - "type": "basic.input", + "id": "f33cb471-7816-45bf-b02b-a6c46f66a592", + "type": "basic.output", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "name": "s", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 296, - "y": 160 + "x": 952, + "y": -352 } }, { - "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", - "type": "basic.outputLabel", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "load" - }, - "position": { - "x": 640, - "y": 208 - } - }, - { - "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 616, - "y": 320 + "x": -32, + "y": -304 } }, { - "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "id": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", "type": "basic.input", "data": { - "name": "load", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 288, - "y": 376 + "x": -320, + "y": -232 } }, { - "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "id": "527c9113-e440-454b-b427-182b646c10f5", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "load", + "name": "b1", "pins": [ { "index": "0", @@ -25274,57 +23999,66 @@ "value": "NULL" } ], - "virtual": true, - "oldBlockColor": "fuchsia" + "virtual": true }, "position": { - "x": 440, - "y": 376 + "x": -32, + "y": -232 } }, { - "id": "9ba88fff-f2b3-4376-ad11-64be4a6e1759", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst", + "blockColor": "deeppink", + "name": "a0", "oldBlockColor": "fuchsia" }, "position": { - "x": 616, - "y": 400 + "x": 184, + "y": -192 } }, { - "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", - "type": "basic.outputLabel", + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "load" + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 632, - "y": 536 + "x": -32, + "y": -160 } }, { - "id": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 472, - "y": 160 + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 184, + "y": -128 } }, { - "id": "659270b8-d9b7-46e2-86f9-580171779367", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 944, - "y": 144 + "x": 320, + "y": -144 }, "size": { "width": 96, @@ -25332,800 +24066,818 @@ } }, { - "id": "547473d7-29d9-4697-8983-429b347922bc", - "type": "b4edc817686236764cdf30f2c2206e765952b653", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 776, - "y": 96 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 128 + "height": 96 } }, { - "id": "a90e3c07-a891-4f91-905f-fcd938557de9", - "type": "b4edc817686236764cdf30f2c2206e765952b653", + "id": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 776, - "y": 424 + "x": -176, + "y": -248 }, "size": { "width": 96, - "height": 128 + "height": 96 + } + }, + { + "id": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "position": { + "x": -168, + "y": -512 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "position": { + "x": 792, + "y": -368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" + } + }, + { + "source": { + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "vertices": [] + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } }, { "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "port": "outlabel" }, "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "port": "outlabel" }, "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", - "port": "out" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "port": "inlabel" } }, { "source": { - "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "port": "outlabel" }, "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", - "port": "outlabel" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { "source": { - "block": "64b7a923-b0af-4e51-89b5-56bfb3073921", - "port": "out" + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "1dd13da9-98e7-470e-be72-531ccee14128", + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "port": "inlabel" } }, { "source": { - "block": "2249fbd9-40b6-447f-ad45-e4a2ea0a880c", - "port": "outlabel" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "777058f2-0fa7-483a-a4c6-d977275cfd9b" - }, - "vertices": [ - { - "x": 736, - "y": 136 - } - ] + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } }, { "source": { - "block": "9ba88fff-f2b3-4376-ad11-64be4a6e1759", + "block": "3c8597e6-ca79-494a-9a53-04c284205216", "port": "outlabel" }, "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "777058f2-0fa7-483a-a4c6-d977275cfd9b" - }, - "vertices": [ - { - "x": 736, - "y": 456 - } - ] + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } }, { "source": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" }, "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + } }, { "source": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 592, - "y": 384 - } - ] + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } }, { "source": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [] + } }, { "source": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", + "port": "out" }, - "vertices": [] + "target": { + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + }, + "size": 3 }, { "source": { - "block": "17fa5936-7abd-4a84-944a-0c758720bdcf", + "block": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", "port": "out" }, "target": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" }, - "size": 2 + "size": 3 }, { "source": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" }, "target": { - "block": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", + "block": "f33cb471-7816-45bf-b02b-a6c46f66a592", "port": "in" }, - "size": 2 + "size": 3 + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "b4edc817686236764cdf30f2c2206e765952b653": { + "a9cd03ae467d8a8ae5c39a91ed8fd8478be8ba8d": { "package": { - "name": "1-bit-reg-rst", - "version": "0.1-c1635681163248", - "description": "1-bit-Reg-rst: 1-Bit register with reset", + "name": "Mach-count-1-bit CLONE", + "version": "0.1-c1712772857545", + "description": "Mach-count-1-bit: 1-bit count machine", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621619608318 }, "design": { "graph": { "blocks": [ { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", + "id": "61ba2c62-b78e-4f65-9484-27e6d81094e6", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "fuchsia", + "name": "active", + "oldBlockColor": "fuchsia" }, "position": { - "x": 16, - "y": 424 + "x": 1696, + "y": -200 } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "id": "af92d0e4-a0aa-43dc-8f5a-de8524249c5b", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "blockColor": "fuchsia", + "name": "busy" }, "position": { - "x": 160, - "y": 424 + "x": 1976, + "y": -184 } }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", + "id": "c56d6dd4-f3bb-4ac0-ac4a-d70ad1b02c00", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 648, - "y": 480 + "x": 1696, + "y": -136 } }, { - "id": "777058f2-0fa7-483a-a4c6-d977275cfd9b", - "type": "basic.input", + "id": "ee67b705-f182-4e1c-82e6-cef45666d6bc", + "type": "basic.outputLabel", "data": { - "name": "rst", - "clock": false + "blockColor": "fuchsia", + "name": "start" }, "position": { - "x": 24, - "y": 520 + "x": 1032, + "y": -64 } }, { - "id": "93ff6a9e-0c86-4c44-9ece-d1699b4e4262", + "id": "b84a8242-1202-4de4-9dfc-c57bd913610a", "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 176, - "y": 520 + "x": 1296, + "y": -48 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "d64cc42c-1819-4270-995b-e42db40d302b", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "active", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1248, - "y": 544 + "x": 904, + "y": 8 } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "id": "ed7abb79-44b5-4398-97cb-5a0182e44dfa", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "curr_bit", + "name": "start_ok", "oldBlockColor": "fuchsia" }, "position": { - "x": 1104, - "y": 544 + "x": 1704, + "y": 64 } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, + "name": "exec", "oldBlockColor": "fuchsia" }, "position": { - "x": 928, - "y": 544 + "x": 1968, + "y": 80 } }, { - "id": "25162946-773d-4787-b6e8-ce9585816d0b", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "red", - "name": "rst" + "name": "", + "clock": true }, "position": { - "x": 648, - "y": 544 + "x": 608, + "y": 120 } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 368, - "y": 552 + "x": 760, + "y": 120 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "d", - "clock": false + "name": "busy" }, "position": { - "x": 24, - "y": 608 + "x": 2416, + "y": 128 } }, { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", + "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, + "blockColor": "fuchsia", + "name": "busy", "oldBlockColor": "fuchsia" }, "position": { - "x": 176, - "y": 608 + "x": 2256, + "y": 128 } }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "id": "10124738-5323-44ff-8f23-f2430083f8e4", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 368, - "y": 616 + "x": 1400, + "y": 144 + } + }, + { + "id": "61ad7f04-a3f8-4d22-95c6-05ee25dd6c38", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 952, + "y": 160 } }, { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", + "id": "6d26e5bf-bb52-4797-b881-19124b63b68e", "type": "basic.inputLabel", "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 176, - "y": 688 + "x": 1216, + "y": 176 } }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", "type": "basic.input", "data": { - "name": "load", + "name": "nc", "clock": false }, "position": { - "x": 24, - "y": 688 + "x": 608, + "y": 208 } }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "id": "fd81fcda-6150-473e-9d4c-95db6ec4eda3", "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "load", + "blockColor": "fuchsia", + "name": "next_ok", "oldBlockColor": "fuchsia" }, "position": { - "x": 368, - "y": 688 + "x": 1400, + "y": 216 } }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", + "id": "b0568fe2-4827-41e1-b889-4241bfc90419", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "blockColor": "fuchsia", + "name": "busy", + "oldBlockColor": "fuchsia" }, "position": { - "x": 792, - "y": 432 + "x": 952, + "y": 224 } }, { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", - "type": "basic.info", + "id": "dc17afec-aa65-46e8-99c5-c70bc3d1799f", + "type": "basic.inputLabel", "data": { - "info": "Mux 2-1", - "readonly": true + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, "position": { - "x": 552, - "y": 712 - }, - "size": { - "width": 104, - "height": 40 + "x": 1880, + "y": 232 } }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", + "id": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "type": "basic.output", "data": { - "info": "D Flip-flip\n(System)", - "readonly": true + "name": "n" }, "position": { - "x": 800, - "y": 640 - }, - "size": { - "width": 120, - "height": 48 + "x": 2416, + "y": 240 } }, { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 528, - "y": 600 + "id": "58724402-b8ba-4209-ae9d-8275c0de2b9b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 2256, + "y": 240 } }, { - "id": "05a951eb-4ced-4661-939b-47effc852dae", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", - "position": { - "x": 792, - "y": 528 + "id": "45926847-7f36-4863-8d25-893e6630cecd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 1568, + "y": 280 } - } - ], - "wires": [ + }, { - "source": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "vertices": [] + "position": { + "x": 608, + "y": 296 + } }, { - "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" }, - "vertices": [] + "position": { + "x": 760, + "y": 296 + } }, { - "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" - }, - "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" + "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "type": "basic.output", + "data": { + "name": "exec" }, - "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + "position": { + "x": 2416, + "y": 320 } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" + "position": { + "x": 2256, + "y": 320 } }, { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "id": "e6c9d51a-cd5c-4f64-b629-37ed7c75bcf7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" + "position": { + "x": 960, + "y": 336 } }, { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" + "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 608, + "y": 368 } }, { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" + "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 760, + "y": 368 } }, { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 488, - "y": 600 - } - ] - }, - { - "source": { - "block": "777058f2-0fa7-483a-a4c6-d977275cfd9b", - "port": "out" + "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "type": "basic.output", + "data": { + "name": "done" }, - "target": { - "block": "93ff6a9e-0c86-4c44-9ece-d1699b4e4262", - "port": "inlabel" + "position": { + "x": 2416, + "y": 400 } }, { - "source": { - "block": "25162946-773d-4787-b6e8-ce9585816d0b", - "port": "outlabel" + "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 2248, + "y": 400 } }, { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "id": "c59290d9-2242-453b-b722-6d2d507f6e4c", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + "position": { + "x": 960, + "y": 400 } }, { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" - }, - "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7" - } - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", + "id": "e1b4f344-603b-4b4e-80f9-03ee7b3df04d", + "type": "basic.inputLabel", "data": { - "name": "", - "clock": true + "blockColor": "fuchsia", + "name": "cycle0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 200, - "y": -264 + "x": 1264, + "y": 400 } }, { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", + "id": "a3276eb6-ba44-4d91-844f-5c3de9417778", + "type": "basic.outputLabel", "data": { "blockColor": "yellow", "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "oldBlockColor": "fuchsia" }, "position": { - "x": 352, - "y": -264 + "x": 1400, + "y": 416 } }, { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", + "id": "3f2a48ec-ac4e-4865-b63e-048a825d2c53", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "fuchsia", + "name": "n", "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": -128 + "x": 1808, + "y": 456 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "c501b28d-cccf-42c7-99cf-2669dc3e3fb7", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 856, - "y": -56 + "x": 960, + "y": 464 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "7409290a-c71e-4650-bffc-e77c6c07461d", + "type": "basic.inputLabel", "data": { - "name": "rst", - "clock": false + "blockColor": "fuchsia", + "name": "active", + "oldBlockColor": "fuchsia" }, "position": { - "x": 200, - "y": -56 + "x": 2072, + "y": 472 } }, { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", + "id": "b979a290-8482-4816-8d68-f70939ce8171", + "type": "basic.inputLabel", "data": { - "name": "d", - "clock": false + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, "position": { - "x": 200, - "y": 80 + "x": 1664, + "y": 472 } }, { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", + "id": "92535f4b-5bd1-46f4-97d4-f01932501660", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 712, - "y": -160 + "x": 1400, + "y": 488 } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", + "id": "0cde18d1-d480-4084-a4ee-f57b860c4326", + "type": "basic.outputLabel", "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true + "blockColor": "fuchsia", + "name": "cycle0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 184, - "y": -120 + "x": 1816, + "y": 512 + } + }, + { + "id": "8ff834bd-7de7-429a-881b-e68400210f9d", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1088, + "y": 176 }, "size": { - "width": 272, - "height": 48 + "width": 96, + "height": 64 } }, { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 360, - "y": -56 + "x": 1840, + "y": 80 }, "size": { "width": 96, @@ -26133,11 +24885,11 @@ } }, { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "type": "6307bdc146f25113ff69e50b291ecd299537326f", "position": { - "x": 536, - "y": -40 + "x": 1704, + "y": 216 }, "size": { "width": 96, @@ -26145,874 +24897,865 @@ } }, { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", + "id": "0498cfeb-ab4b-4cdf-90c0-36773fd83a09", "type": "basic.info", "data": { - "info": "Data input", + "info": "If the machine is active, no more start signals \nare allowed to enter", "readonly": true }, "position": { - "x": 216, - "y": 56 + "x": 1048, + "y": -112 }, "size": { - "width": 104, - "height": 40 + "width": 376, + "height": 48 } }, { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", + "id": "575c54ca-58c7-42af-b1f5-dc43e001d640", "type": "basic.info", "data": { - "info": "System clock", + "info": "Execution tic: \n* One tic per state", "readonly": true }, "position": { - "x": 208, - "y": -304 + "x": 2072, + "y": 64 }, "size": { - "width": 144, - "height": 40 + "width": 176, + "height": 48 } }, { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", + "id": "ed0677fe-f7f6-4680-922f-95872b35b061", "type": "basic.info", "data": { - "info": "Initial default \nvalue: 0", + "info": "1-bit counter", "readonly": true }, "position": { - "x": 720, - "y": -200 - }, + "x": 1544, + "y": 416 + }, "size": { - "width": 152, - "height": 64 + "width": 136, + "height": 32 } }, { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "id": "9ae84f4f-08f3-486c-8d3f-d6b85690e0a9", + "type": "basic.info", + "data": { + "info": "1-to-2 Demux", + "readonly": true + }, "position": { - "x": 712, - "y": -56 + "x": 1704, + "y": 184 }, "size": { - "width": 96, - "height": 64 + "width": 120, + "height": 32 } - } - ], - "wires": [ - { - "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" - }, - "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" + "id": "23e29108-ca6c-4bac-a3d8-ae86ee560c87", + "type": "basic.info", + "data": { + "info": "Turn off \nthe machine", + "readonly": true }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "position": { + "x": 1904, + "y": 192 + }, + "size": { + "width": 120, + "height": 56 } }, { - "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "6b26c534-dcbb-417a-824a-438cc910a4af", + "type": "basic.info", + "data": { + "info": "n=1", + "readonly": true }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "position": { + "x": 1832, + "y": 200 }, - "vertices": [] + "size": { + "width": 64, + "height": 40 + } }, { - "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "950dfd41-91ee-43a2-9841-a52fc7c7f3e4", + "type": "basic.info", + "data": { + "info": "n=0", + "readonly": true }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1840, + "y": 288 }, - "vertices": [] + "size": { + "width": 64, + "height": 40 + } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1168, + "y": -48 }, - "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" + "id": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 1032, + "y": 8 }, - "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "id": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1944, + "y": 472 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" + "id": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1840, + "y": -184 }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "size": { + "width": 96, + "height": 64 } - } - ] - } - } - }, - "93c5332100dac9394e2631de4a8fcdcbc727bc54": { - "package": { - "name": "Comp1-x02", - "version": "0.1", - "description": "Comp1-x02: Comparator of 2-bits operand and parameter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618596618675 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "da53c1b2-2052-49b5-8b4a-b31912e69d4b", + "type": "basic.info", "data": { - "name": "" + "info": "The next signal can only go inside the machine \nif it is busy", + "readonly": true }, "position": { - "x": 904, - "y": 344 + "x": 992, + "y": 112 + }, + "size": { + "width": 376, + "height": 48 } }, { - "id": "9ef3833c-d07c-40de-9654-6347ecb2b415", - "type": "basic.input", + "id": "44970ae0-d560-441c-9463-5e31536ead32", + "type": "basic.info", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "info": "The machine is busy from the very \nbegining in which the start_ok \nsignal arrives", + "readonly": true }, "position": { - "x": 496, - "y": 432 + "x": 1464, + "y": -168 + }, + "size": { + "width": 280, + "height": 64 } }, { - "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "type": "basic.constant", + "id": "bb8ecfa0-cfc9-4bc8-a1fb-d44f7d6e7f9b", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "Initial state cycle \n(n = 0)", + "readonly": true }, "position": { - "x": 520, - "y": 224 + "x": 1136, + "y": 320 + }, + "size": { + "width": 176, + "height": 56 } }, { - "id": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "type": "e5bbf41d78133bc689da8710862f21529dc57878", + "id": "64356a5a-dffd-49a4-8aa9-db26478ab0af", + "type": "basic.info", + "data": { + "info": "When the next_ok signal is received \nthe initial cycles is finished", + "readonly": true + }, "position": { - "x": 720, - "y": 344 + "x": 960, + "y": 528 }, "size": { - "width": 96, - "height": 64 + "width": 288, + "height": 48 } }, { - "id": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", + "id": "13b1e87b-c4f0-46b1-aff9-48521b124b0d", + "type": "basic.info", + "data": { + "info": "When the next_ok is received the counter is \nincreased", + "readonly": true + }, "position": { - "x": 520, - "y": 328 + "x": 1448, + "y": 552 }, "size": { - "width": 96, - "height": 64 + "width": 344, + "height": 56 } - } - ], - "wires": [ + }, { - "source": { - "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "port": "constant-out" + "id": "b24d0714-ab1d-4a51-809a-aad63af1d224", + "type": "basic.info", + "data": { + "info": "The machine is active: either in the first \nstate cycle (n=0) or the next cycles (n=1)", + "readonly": true }, - "target": { - "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "position": { + "x": 1832, + "y": 392 }, - "vertices": [] + "size": { + "width": 344, + "height": 56 + } }, { - "source": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "e839d5d4-8947-4830-b645-da6fe84791c9", + "type": "basic.info", + "data": { + "info": "Wait one cycle for the \ncounter to be updated", + "readonly": true }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 1520, + "y": 104 + }, + "size": { + "width": 200, + "height": 48 } }, { - "source": { - "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" + "id": "4fab77d5-51e6-4bf8-9a43-ec5c546d0277", + "type": "basic.info", + "data": { + "info": "The next_ok signal output either as the \nexec signal (when n=1) or as the done signal \nwhen (n = 0)", + "readonly": true }, - "target": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "cafb11f4-8366-4ea4-96dc-09421b1ab171" + "position": { + "x": 1496, + "y": 16 }, - "size": 2 - }, - { - "source": { - "block": "9ef3833c-d07c-40de-9654-6347ecb2b415", - "port": "out" - }, - "target": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "4e04cf57-df6a-4731-8426-5d45850cbc29" - }, - "size": 2 - } - ] - } - } - }, - "e5bbf41d78133bc689da8710862f21529dc57878": { - "package": { - "name": "comp2-2bits", - "version": "0.1", - "description": "Comp2-2bit: Comparator of two 2-bit numbers", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, - "position": { - "x": 680, - "y": 168 + "size": { + "width": 336, + "height": 80 } }, { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "type": "basic.outputLabel", + "id": "f9a7c98d-11d8-43a5-8557-267655776120", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "a1" + "info": "Then count machine has three states: \n\n* Idle: The machine is off, waiting to receive the start signal \n* n = 0: Initial state cycle. It goes from receiveing the start signal until \n the first next signal arrives\n* n = 1: second state cycle. Fron the first next signal to the second", + "readonly": true }, "position": { - "x": 904, - "y": 176 + "x": 512, + "y": -256 + }, + "size": { + "width": 600, + "height": 120 } }, { - "id": "cafb11f4-8366-4ea4-96dc-09421b1ab171", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, + "id": "4af366b0-662d-4be0-99be-d7a446534965", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 368, - "y": 208 + "x": 1528, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "oldBlockColor": "fuchsia" - }, + "id": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", "position": { - "x": 904, - "y": 248 + "x": 1528, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true - }, + "id": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", "position": { - "x": 680, - "y": 256 + "x": 1120, + "y": 384 + }, + "size": { + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 1368, - "y": 320 - } + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a0", - "oldBlockColor": "fuchsia" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 904, - "y": 344 + "target": { + "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "port": "inlabel" } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "port": "outlabel" }, - "position": { - "x": 688, - "y": 360 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "4e04cf57-df6a-4731-8426-5d45850cbc29", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "source": { + "block": "58724402-b8ba-4209-ae9d-8275c0de2b9b", + "port": "outlabel" }, - "position": { - "x": 376, - "y": 408 - } + "target": { + "block": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "port": "in" + }, + "vertices": [] }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" + "source": { + "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "port": "out" }, - "position": { - "x": 904, - "y": 416 - } + "target": { + "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "port": "outlabel" }, - "position": { - "x": 688, - "y": 456 - } + "target": { + "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "port": "in" + }, + "vertices": [] }, { - "id": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 536, - "y": 208 + "source": { + "block": "61ad7f04-a3f8-4d22-95c6-05ee25dd6c38", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 536, - "y": 408 - }, - "size": { - "width": 96, - "height": 64 + "source": { + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a3276eb6-ba44-4d91-844f-5c3de9417778", + "port": "outlabel" + }, + "target": { + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 400 + "source": { + "block": "10124738-5323-44ff-8f23-f2430083f8e4", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "4af366b0-662d-4be0-99be-d7a446534965", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 232 + "source": { + "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "port": "in" } }, { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", - "type": "basic.info", - "data": { - "info": "A", - "readonly": true + "source": { + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, - "position": { - "x": 416, - "y": 184 + "target": { + "block": "dc17afec-aa65-46e8-99c5-c70bc3d1799f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c59290d9-2242-453b-b722-6d2d507f6e4c", + "port": "outlabel" }, - "size": { - "width": 80, - "height": 32 + "target": { + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", - "type": "basic.info", - "data": { - "info": "B", - "readonly": true + "source": { + "block": "e6c9d51a-cd5c-4f64-b629-37ed7c75bcf7", + "port": "outlabel" }, - "position": { - "x": 416, - "y": 384 + "target": { + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b0568fe2-4827-41e1-b889-4241bfc90419", + "port": "outlabel" }, - "size": { - "width": 80, - "height": 32 + "target": { + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "77ea015e-6eb5-4458-9077-72372bf94173", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 1208, - "y": 320 + "source": { + "block": "ee67b705-f182-4e1c-82e6-cef45666d6bc", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } - } - ], - "wires": [ + }, { "source": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "d64cc42c-1819-4270-995b-e42db40d302b", + "port": "outlabel" }, "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" + "block": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "block": "af92d0e4-a0aa-43dc-8f5a-de8524249c5b", "port": "inlabel" } }, { "source": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "61ba2c62-b78e-4f65-9484-27e6d81094e6", + "port": "outlabel" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "7409290a-c71e-4650-bffc-e77c6c07461d", "port": "inlabel" } }, { "source": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "block": "b84a8242-1202-4de4-9dfc-c57bd913610a", "port": "inlabel" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "block": "c56d6dd4-f3bb-4ac0-ac4a-d70ad1b02c00", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "b979a290-8482-4816-8d68-f70939ce8171", + "port": "inlabel" + } + }, + { + "source": { + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6d26e5bf-bb52-4797-b881-19124b63b68e", + "port": "inlabel" + } + }, + { + "source": { + "block": "fd81fcda-6150-473e-9d4c-95db6ec4eda3", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "4af366b0-662d-4be0-99be-d7a446534965", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", + "block": "92535f4b-5bd1-46f4-97d4-f01932501660", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" } }, { "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "block": "c501b28d-cccf-42c7-99cf-2669dc3e3fb7", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, { "source": { - "block": "cafb11f4-8366-4ea4-96dc-09421b1ab171", - "port": "out" + "block": "ed7abb79-44b5-4398-97cb-5a0182e44dfa", + "port": "outlabel" }, "target": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": 2 + "target": { + "block": "e1b4f344-603b-4b4e-80f9-03ee7b3df04d", + "port": "inlabel" + } }, { "source": { - "block": "4e04cf57-df6a-4731-8426-5d45850cbc29", - "port": "out" + "block": "3f2a48ec-ac4e-4865-b63e-048a825d2c53", + "port": "outlabel" }, "target": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0cde18d1-d480-4084-a4ee-f57b860c4326", + "port": "outlabel" }, - "size": 2 + "target": { + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "45926847-7f36-4863-8d25-893e6630cecd", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "4af366b0-662d-4be0-99be-d7a446534965", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "0fa07340e795f699d6f370d550d2259f58dd3e21": { + "6307bdc146f25113ff69e50b291ecd299537326f": { "package": { - "name": "Bus4-Split-1-3", + "name": "DeMux-1-2", "version": "0.1", - "description": "Bus4-Split-1-3: Split the 4-bits bus into two: 1-bit and 3-bits buses", + "description": "1-to-2 DeMultplexer (1-bit channels)", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", "data": { - "name": "1" + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 560, - "y": 80 + "x": 744, + "y": 368 } }, { - "id": "745d3113-3b1f-499a-a414-00e638cd932b", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "clock": false }, "position": { "x": 136, - "y": 184 + "y": 416 } }, { - "id": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "type": "basic.output", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", "data": { - "name": "0", - "range": "[2:0]", - "size": 3 - }, - "position": { - "x": 600, - "y": 208 + "blockColor": "fuchsia", + "name": "input", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 416 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", "data": { - "code": "assign o1 = i[3];\nassign o0 = i[2:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[2:0]", - "size": 3 - } - ] - } + "name": "1" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 80 + "x": 1032, + "y": 424 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "position": { + "x": 272, + "y": 528 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "port": "in" + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false }, - "size": 3 + "position": { + "x": 136, + "y": 528 + } }, { - "source": { - "block": "745d3113-3b1f-499a-a414-00e638cd932b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "582721ded9ae89aab6647702e561cbbd2c48e590": { - "package": { - "name": "Div4-uint-4bits", - "version": "0.1", - "description": "Div4-unit-4bits: Divide a 4-bits uint number by 4", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22339.186%22%20height=%22183.786%22%20viewBox=%220%200%20317.98705%20172.30008%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-468.41%22%20y=%2243.877%22%20font-size=%2237.5%22%20font-family=%22sans-serif%22%20writing-mode=%22vertical-lr%22%20stroke-width=%22.938%22/%3E%3Cg%20style=%22line-height:1.25%22%20transform=%22translate(17.31%20-17.61)%22%20font-size=%22190.376%22%20fill=%22#00f%22%20stroke-width=%224.759%22%3E%3Cpath%20d=%22M-7.935%20111.638h147.779%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%2218.75%22%20stroke-linecap=%22round%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%2258.129%22%20r=%2224.417%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%22165.148%22%20r=%2224.417%22/%3E%3C/g%3E%3Cpath%20d=%22M251.399%2036.583l-48.701%2072.129h48.7zM244.013%200h49.393v108.712h24.582v32.198h-24.582v31.39H251.4v-31.39H175v-38.084z%22%20font-size=%22236.35%22%20stroke-width=%225.441%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22/%3E%3C/svg%3E", - "otid": 1622709944841 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", - "type": "basic.input", + "id": "6daf7ae7-6704-44ee-b291-2e8188102286", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 272 + "x": 752, + "y": 544 } }, { - "id": "47e6d0c1-84e5-4710-8a74-901692817ca9", + "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", "type": "basic.output", "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "name": "0" }, "position": { - "x": 984, - "y": 272 + "x": 1040, + "y": 600 } }, { - "id": "c2696cd3-b7a7-42b8-ad2b-58e136fc1cfd", - "type": "basic.info", + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", "data": { - "info": "It is implemented by shifthing 2 bits to the right", - "readonly": true + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 528, - "y": 176 + "x": 464, + "y": 648 + } + }, + { + "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 888, + "y": 424 }, "size": { - "width": 424, - "height": 40 + "width": 96, + "height": 64 } }, { - "id": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", + "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 640, - "y": 272 + "x": 624, + "y": 648 }, "size": { "width": 96, @@ -27020,11 +25763,11 @@ } }, { - "id": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", + "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 808, - "y": 272 + "x": 888, + "y": 600 }, "size": { "width": 96, @@ -27035,899 +25778,1226 @@ "wires": [ { "source": { - "block": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" - }, - "size": 4 + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } }, { "source": { - "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, "target": { - "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" - }, - "size": 4 + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } }, { "source": { - "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" }, "target": { - "block": "47e6d0c1-84e5-4710-8a74-901692817ca9", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "bb3c16ee131504e1eb5a52aebaf459263bf9f239": { - "package": { - "name": "SR1-unit4", - "version": "0.1", - "description": "SR1-uint4: Shift a 4-bit value one bit right. MSB is filled with 0 (no sign used)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22298.966%22%20height=%22164.122%22%20viewBox=%220%200%20280.28048%20153.86437%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-251.299)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22700%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%221.809%22%3E%3Cpath%20d=%22M27.921%2096.208h14.585v33.904q0%207.008%202.273%2010.04%202.31%202.992%207.5%202.992%205.228%200%207.501-2.993%202.31-3.03%202.31-10.039V96.208h14.585v33.904q0%2012.009-6.023%2017.88-6.023%205.872-18.373%205.872-12.311%200-18.335-5.871-6.023-5.872-6.023-17.88zM90.957%2096.208h14.585v56.558H90.957zM119.899%2096.208h16.29l20.57%2038.791V96.208h13.826v56.558h-16.29l-20.57-38.791v38.79H119.9zM178.162%2096.208h52.125v11.024h-18.751v45.534H196.95v-45.534h-18.79z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1622709944841 - }, - "design": { - "graph": { - "blocks": [ + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, { - "id": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "source": { + "block": "6daf7ae7-6704-44ee-b291-2e8188102286", + "port": "outlabel" }, - "position": { - "x": 1048, - "y": 264 + "target": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "bd4f8bd4-1168-45de-9e31-9285fc5df114", - "type": "basic.input", - "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 - }, - "position": { - "x": 184, - "y": 296 - } - }, - { - "id": "953c64e3-4076-4585-9f11-712f1a493091", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 736, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", - "position": { - "x": 424, - "y": 264 + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 128 + "target": { + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, - { - "id": "01808189-9bef-463d-b550-33d6bf218fa5", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 896, - "y": 232 - }, - "size": { - "width": 96, - "height": 128 - } - } - ], - "wires": [ { "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, "vertices": [ { - "x": 656, - "y": 312 + "x": 584, + "y": 544 } ] }, { "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - }, - "vertices": [] - }, - { - "source": { - "block": "bd4f8bd4-1168-45de-9e31-9285fc5df114", - "port": "out" - }, - "target": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 - }, - { - "source": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", "port": "in" - }, - "size": 4 + } }, { "source": { - "block": "953c64e3-4076-4585-9f11-712f1a493091", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "port": "in" } }, { "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "d2486582507737ac129f542fe8d9dc8012c017de": { + "b7f7136a87c607769a64233fd99bc12818a05746": { "package": { - "name": "Sys-Delay-xN-3bits", - "version": "0.2", - "description": "Sys-Delay-xN-3bits: The input tic is delayed N cycles", + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1616831800584 + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 }, "design": { "graph": { "blocks": [ { - "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "state", - "blockColor": "navy" + "name": "", + "clock": true }, "position": { - "x": 1104, - "y": 40 + "x": 328, + "y": -80 } }, { "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "busy" + "name": "" }, "position": { - "x": 1264, - "y": 40 + "x": 904, + "y": -8 } }, { - "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "type": "basic.input", "data": { "name": "", - "clock": true + "clock": false }, "position": { - "x": 112, + "x": 320, "y": 64 } }, { - "id": "12f80074-62b7-463f-8191-1301ae02e38b", - "type": "basic.inputLabel", + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 248, - "y": 64 + "x": 624, + "y": -248 } }, { - "id": "b138b609-b509-4583-a8a5-c012a228bae2", - "type": "basic.outputLabel", + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "t" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 400, - "y": 80 + "x": 496, + "y": -120 + }, + "size": { + "width": 352, + "height": 288 + } + } + ], + "wires": [ + { + "source": { + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" } }, { - "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", - "type": "basic.inputLabel", - "data": { - "name": "state", - "blockColor": "navy" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 696, - "y": 144 + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" } }, { - "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "fuchsia" + "source": { + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "port": "out" }, - "position": { - "x": 400, - "y": 144 + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" } }, { - "id": "5ded0703-b257-4d63-923d-e5443d342fb3", + "source": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "8a23ffd0491010ff595493182b82c722bd6902d7": { + "package": { + "name": "DFF-verilog", + "version": "0.2", + "description": "DFF. D Flip-flop. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1594812046378 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", "type": "basic.input", "data": { - "name": "N", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "", + "clock": true }, "position": { - "x": 104, - "y": 152 + "x": 128, + "y": 424 } }, { - "id": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", - "type": "basic.inputLabel", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "N", - "range": "[2:0]", - "blockColor": "darkgreen", - "size": 3 + "name": "d", + "clock": false }, "position": { - "x": 240, - "y": 152 + "x": 128, + "y": 536 } }, { - "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", - "type": "basic.outputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "max", - "blockColor": "lightgray" + "name": "" }, "position": { - "x": 400, - "y": 208 + "x": 824, + "y": 536 } }, { - "id": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", - "type": "basic.outputLabel", + "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "type": "basic.input", "data": { - "name": "n", - "range": "[2:0]", - "blockColor": "springgreen", - "size": 3 + "name": "load", + "clock": false }, "position": { - "x": 1112, - "y": 240 + "x": 128, + "y": 648 } }, { - "id": "a4f0683c-ab82-451f-93f7-d4766d423c6a", - "type": "basic.output", + "id": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "type": "basic.constant", "data": { - "name": "n", - "range": "[2:0]", - "size": 3 + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1264, - "y": 240 + "x": 488, + "y": 280 } }, { - "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", - "type": "basic.input", + "id": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "type": "basic.code", "data": { - "name": "start", - "clock": false + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 104, - "y": 248 - } - }, - { - "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "fuchsia" + "x": 320, + "y": 400 }, - "position": { - "x": 240, - "y": 248 + "size": { + "width": 424, + "height": 336 } - }, + } + ], + "wires": [ { - "id": "7e407e80-55f5-404a-b07f-97ca0e962292", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "port": "out" }, - "position": { - "x": 568, - "y": 288 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "clk" } }, { - "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", - "type": "basic.outputLabel", - "data": { - "name": "max", - "blockColor": "lightgray" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 568, - "y": 360 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "d" } }, { - "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", - "type": "basic.output", - "data": { - "name": "done" + "source": { + "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "port": "out" }, - "position": { - "x": 1272, - "y": 376 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "load" } }, { - "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", - "type": "basic.outputLabel", - "data": { - "name": "max", - "blockColor": "lightgray" + "source": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "q" }, - "position": { - "x": 1104, - "y": 376 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "eeca6ac8-195f-4442-afce-f84100fe5cf7", - "type": "basic.inputLabel", - "data": { - "name": "n", - "range": "[2:0]", - "blockColor": "springgreen", - "size": 3 + "source": { + "block": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "port": "constant-out" }, - "position": { - "x": 912, - "y": 376 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "INI" } - }, + } + ] + } + } + }, + "8d66187a7f8e3ffab330c0980473cb3427f8a5a6": { + "package": { + "name": "08-Reg", + "version": "0.8", + "description": "08-Reg: 8 bits Register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ { - "id": "8d295d72-5e92-4790-afc9-0c9be1b1c655", - "type": "basic.outputLabel", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", + "type": "basic.input", "data": { - "name": "N", - "range": "[2:0]", - "blockColor": "darkgreen", - "size": 3 + "name": "", + "clock": true }, "position": { "x": 568, - "y": 424 + "y": 144 } }, { - "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", - "type": "basic.inputLabel", + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", + "type": "basic.output", "data": { - "name": "max", - "blockColor": "lightgray" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 912, - "y": 440 + "x": 1136, + "y": 240 } }, { - "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", - "type": "basic.outputLabel", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "type": "basic.input", "data": { - "name": "state", - "blockColor": "navy" + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { "x": 568, - "y": 496 + "y": 240 } }, { - "id": "70ef2353-3812-45c6-ba9f-e427dfefd973", - "type": "basic.constant", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "INIT", - "value": "1", - "local": true + "name": "load", + "clock": false }, "position": { - "x": 744, - "y": 280 + "x": 568, + "y": 328 } }, { - "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", - "type": "basic.info", + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", "data": { - "info": "System clock", - "readonly": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 120, - "y": 32 - }, - "size": { - "width": 120, - "height": 40 + "x": 848, + "y": 24 } }, { - "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", - "type": "basic.info", + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "type": "basic.code", "data": { - "info": "Input signal", - "readonly": true - }, - "position": { - "x": 112, - "y": 224 - }, - "size": { - "width": 128, - "height": 40 + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[7:0]", + "size": 8 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 8;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" + }, + "position": { + "x": 728, + "y": 128 + }, + "size": { + "width": 328, + "height": 280 } + } + ], + "wires": [ + { + "source": { + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" + }, + "vertices": [] }, { - "id": "29ef30d2-5a8e-484a-88bf-2923c96d0cc0", - "type": "basic.info", + "source": { + "block": "c39743c3-1b76-483c-8613-15f2782d0809", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" + }, + "vertices": [] + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" + } + }, + { + "source": { + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" + }, + "size": 8 + }, + { + "source": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" + }, + "target": { + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", "data": { - "info": "Current working cycle: 1-3", - "readonly": true + "name": "state", + "blockColor": "navy" }, "position": { - "x": 1136, - "y": 192 + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" }, - "size": { - "width": 224, - "height": 40 + "position": { + "x": 1264, + "y": 40 } }, { - "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", - "type": "basic.info", + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", "data": { - "info": "Cycles to delay", - "readonly": true + "name": "", + "clock": true }, "position": { "x": 112, - "y": 136 - }, - "size": { - "width": 152, - "height": 40 + "y": 64 } }, { - "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", - "type": "basic.info", + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", "data": { - "info": "Delay finished!", - "readonly": true + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 1256, - "y": 344 - }, - "size": { - "width": 224, - "height": 40 + "x": 248, + "y": 64 } }, { - "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", - "type": "basic.info", + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", "data": { - "info": "Machine state: ON/OFF", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1240, - "y": -8 - }, - "size": { - "width": 192, - "height": 40 + "x": 400, + "y": 80 } }, { - "id": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", - "position": { - "x": 568, - "y": 128 + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 696, + "y": 144 } }, { - "id": "bb602702-5cef-402e-8943-22ab77156f9e", - "type": "basic.info", + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", "data": { - "info": "RS Flip-Flop", - "readonly": true + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 584, - "y": 240 - }, - "size": { - "width": 120, - "height": 32 + "x": 400, + "y": 144 } }, { - "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", - "type": "basic.info", + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", "data": { - "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", - "readonly": true + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 520, - "y": 8 - }, - "size": { - "width": 224, - "height": 72 + "x": 112, + "y": 152 } }, { - "id": "5ded0cb9-7da5-4360-a398-bcccdd86ba2d", - "type": "basic.info", + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", "data": { - "info": "2-bits counter", - "readonly": true + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 }, "position": { - "x": 744, - "y": 512 - }, - "size": { - "width": 160, - "height": 32 + "x": 240, + "y": 152 } }, { - "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", - "type": "basic.info", + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", "data": { - "info": "The counter has reached \nthe number of cycles \nto delay", - "readonly": true + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 840, - "y": 552 - }, - "size": { - "width": 208, - "height": 72 + "x": 400, + "y": 208 } }, { - "id": "2a740374-b37a-43be-a1ee-d3156db6698e", - "type": "basic.info", + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", "data": { - "info": "Initial value", - "readonly": true + "name": "n", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 856, - "y": 304 - }, - "size": { - "width": 144, - "height": 40 + "x": 1264, + "y": 240 } }, { - "id": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "type": "0d64b3c7ccde071cb65efaf2c0731911c8f746ea", - "position": { - "x": 744, - "y": 376 + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 1112, + "y": 240 } - } - ], - "wires": [ - { - "source": { - "block": "0124987f-7749-493e-84ef-1f03b5fca187", - "port": "out" - }, - "target": { - "block": "12f80074-62b7-463f-8191-1301ae02e38b", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", - "port": "out" + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", - "port": "inlabel" + "position": { + "x": 104, + "y": 248 } }, { - "source": { - "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", - "port": "outlabel" + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" }, - "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 240, + "y": 248 } }, { - "source": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", - "port": "inlabel" + "position": { + "x": 568, + "y": 288 } }, { - "source": { - "block": "7e407e80-55f5-404a-b07f-97ca0e962292", - "port": "outlabel" + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 568, + "y": 360 } }, { - "source": { - "block": "b138b609-b509-4583-a8a5-c012a228bae2", - "port": "outlabel" - }, - "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [ - { - "x": 528, - "y": 128 - } - ] + "position": { + "x": 1272, + "y": 376 + } }, { - "source": { - "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", - "port": "outlabel" + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + "position": { + "x": 1104, + "y": 376 } }, { - "source": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 }, - "target": { - "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", - "port": "inlabel" + "position": { + "x": 912, + "y": 376 } }, { - "source": { - "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", - "port": "outlabel" + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 568, + "y": 424 } }, { - "source": { - "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", - "port": "outlabel" + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" }, - "target": { - "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", - "port": "in" + "position": { + "x": 912, + "y": 440 } }, { - "source": { - "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", - "port": "outlabel" - }, - "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" }, - "vertices": [ - { - "x": 696, - "y": 488 - } - ] + "position": { + "x": 568, + "y": 496 + } }, { - "source": { - "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", - "port": "outlabel" + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + "position": { + "x": 120, + "y": 32 }, - "vertices": [ - { - "x": 704, - "y": 408 - } - ] + "size": { + "width": 120, + "height": 40 + } }, { - "source": { - "block": "5ded0703-b257-4d63-923d-e5443d342fb3", - "port": "out", - "size": 3 + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", - "port": "inlabel" + "position": { + "x": 112, + "y": 224 }, - "size": 3 + "size": { + "width": 128, + "height": 40 + } }, { - "source": { - "block": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", - "port": "outlabel" + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true }, - "target": { - "block": "a4f0683c-ab82-451f-93f7-d4766d423c6a", - "port": "in", - "size": 3 + "position": { + "x": 112, + "y": 136 }, - "size": 3 + "size": { + "width": 152, + "height": 40 + } }, { - "source": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "827526b6-34c0-4247-9146-7e013bc24886", - "size": 3 + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true }, - "target": { - "block": "eeca6ac8-195f-4442-afce-f84100fe5cf7", - "port": "inlabel" + "position": { + "x": 1256, + "y": 344 }, - "size": 3 + "size": { + "width": 224, + "height": 40 + } }, { - "source": { - "block": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "8f054083-f0e7-4d10-85db-054a74bc930e", - "size": 3 + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": 3 + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } }, { "source": { - "block": "70ef2353-3812-45c6-ba9f-e427dfefd973", - "port": "constant-out" + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "6e45c329-1d94-4d21-aca5-080253bdf1c9" + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 } ] } } }, - "0d64b3c7ccde071cb65efaf2c0731911c8f746ea": { + "ee22aefdb40369d328e34626d38b009de330f96b": { "package": { - "name": "Counter-M-rst-x02", - "version": "0.2", - "description": "Counter-M-x02: 2-bits M module counter with reset", + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1618503523961 @@ -27960,29 +27030,12 @@ } }, { - "id": "827526b6-34c0-4247-9146-7e013bc24886", + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", "type": "basic.output", "data": { "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "range": "[31:0]", + "size": 32 }, "position": { "x": 1184, @@ -27990,30 +27043,13 @@ } }, { - "id": "55edd0be-62a0-4ba1-b883-e6a519a1772c", + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", "type": "basic.outputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { "x": 1016, @@ -28069,64 +27105,30 @@ } }, { - "id": "8f054083-f0e7-4d10-85db-054a74bc930e", - "type": "basic.input", + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", "data": { - "name": "max", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, "position": { - "x": -24, + "x": 104, "y": -160 } }, { - "id": "46a3af92-1a38-47ce-917f-72b9d052b9c9", - "type": "basic.inputLabel", + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", "data": { - "name": "maxi", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 104, + "x": -24, "y": -160 } }, @@ -28164,8 +27166,8 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 488, - "y": 0 + "x": 456, + "y": 16 } }, { @@ -28181,37 +27183,6 @@ "y": 40 } }, - { - "id": "e92c1b12-9b1b-44bf-a405-ee008964bc6c", - "type": "basic.outputLabel", - "data": { - "name": "maxi", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 736, - "y": 40 - } - }, { "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", "type": "basic.outputLabel", @@ -28225,18 +27196,6 @@ "y": 136 } }, - { - "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "max" - }, - "position": { - "x": 1056, - "y": 152 - } - }, { "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", "type": "basic.outputLabel", @@ -28250,67 +27209,59 @@ } }, { - "id": "2ae4d5db-0a4e-4407-98c0-6a4679e87ba7", + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", "type": "basic.outputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { - "x": 168, - "y": 264 + "x": -32, + "y": 304 } }, { - "id": "24d28ed9-07c0-438b-a34c-6e9e51644df2", + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", "type": "basic.inputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { "x": 792, "y": 320 } }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, { "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", "type": "basic.outputLabel", @@ -28320,37 +27271,21 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 336 + "x": 416, + "y": 488 } }, { - "id": "6e45c329-1d94-4d21-aca5-080253bdf1c9", + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", "type": "basic.constant", "data": { "name": "", "value": "0", - "local": false + "local": true }, "position": { "x": 632, - "y": 16 - } - }, - { - "id": "5147675d-38b3-4889-b4c5-9996cb982a1d", - "type": "basic.info", - "data": { - "info": "3-bits Comparator", - "readonly": true - }, - "position": { - "x": 880, - "y": 224 - }, - "size": { - "width": 176, - "height": 40 + "y": 8 } }, { @@ -28361,8 +27296,8 @@ "readonly": true }, "position": { - "x": 1056, - "y": 88 + "x": 424, + "y": 368 }, "size": { "width": 192, @@ -28373,12 +27308,12 @@ "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", "type": "basic.info", "data": { - "info": "2-bits register", + "info": "32-bits register", "readonly": true }, "position": { "x": 640, - "y": 248 + "y": 256 }, "size": { "width": 168, @@ -28442,23 +27377,23 @@ } }, { - "id": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "type": "163de71139324552fbc888f7c8115b2e92c4e2c9", + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", "position": { - "x": 632, - "y": 120 + "x": 120, + "y": 304 }, "size": { "width": 96, - "height": 128 + "height": 64 } }, { - "id": "35a5a649-aabb-4c28-b892-b1e688205507", - "type": "6f680c6417b9b58e89e7bdc471b831693ec12d1f", + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", "position": { - "x": 896, - "y": 152 + "x": 296, + "y": 424 }, "size": { "width": 96, @@ -28466,15 +27401,15 @@ } }, { - "id": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "type": "097e2f8e1d86d74bb4b81e142ef453a72f74dab2", + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", "position": { - "x": 320, - "y": 264 + "x": 632, + "y": 120 }, "size": { "width": 96, - "height": 64 + "height": 128 } } ], @@ -28496,9 +27431,15 @@ "port": "outlabel" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] }, { "source": { @@ -28512,7 +27453,7 @@ }, { "source": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { @@ -28556,7 +27497,7 @@ "port": "outlabel" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, @@ -28583,63 +27524,63 @@ }, { "source": { - "block": "8f054083-f0e7-4d10-85db-054a74bc930e", - "port": "out", - "size": 3 + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" }, "target": { - "block": "46a3af92-1a38-47ce-917f-72b9d052b9c9", - "port": "inlabel" + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { - "block": "55edd0be-62a0-4ba1-b883-e6a519a1772c", - "port": "outlabel" + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 }, "target": { - "block": "827526b6-34c0-4247-9146-7e013bc24886", - "port": "in", - "size": 3 + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "e92c1b12-9b1b-44bf-a405-ee008964bc6c", + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", "port": "outlabel" }, "target": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", - "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", - "size": 3 + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { - "block": "2ae4d5db-0a4e-4407-98c0-6a4679e87ba7", - "port": "outlabel" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 }, "target": { - "block": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "port": "65ab43b8-eebf-407e-a137-91891b821643", - "size": 3 + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "size": 3 + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" }, "target": { - "block": "24d28ed9-07c0-438b-a34c-6e9e51644df2", - "port": "inlabel" + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { @@ -28647,7 +27588,7 @@ "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" } }, @@ -28663,869 +27604,1874 @@ }, { "source": { - "block": "6e45c329-1d94-4d21-aca5-080253bdf1c9", - "port": "constant-out" - }, - "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } - }, - { - "source": { - "block": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "port": "f854d138-c12e-4178-8523-b71331cd29a6" + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "d13e8ef7-99de-4b33-97e4-f6276c172ae8" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" }, "vertices": [ { - "x": 568, - "y": 240 + "x": 592, + "y": 288 } ], - "size": 3 + "size": 32 }, { "source": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "2afd8b74-c1d3-48d0-9a49-093582806e76" + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" }, "target": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", - "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" }, - "size": 3 + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 } ] } } }, - "163de71139324552fbc888f7c8115b2e92c4e2c9": { + "0906c20b5d8675f4f9b5706640957da9573692b5": { "package": { - "name": "Reg-rst-02", - "version": "0.4", - "description": "Reg-rst-02: Two bits Register with load and reset inputs", + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "23c0248b-d48e-4388-b61a-b363a92491b1", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 808, - "y": -64 - } - }, - { - "id": "81f1b29d-bee0-46d8-acb4-16fc81b97b3d", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "nc" + "name": "c" }, "position": { - "x": 1392, - "y": -64 + "x": 624, + "y": -168 } }, { - "id": "207472c3-0ab2-423f-b05a-6c0b1fd4dc0a", - "type": "basic.outputLabel", + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", "data": { - "name": "rst", - "blockColor": "red" + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 784, - "y": 24 + "x": 280, + "y": -152 } }, { - "id": "2d87ecff-e270-4b97-8b90-f988b8035c59", + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", "type": "basic.output", "data": { - "name": "nc" + "name": "s", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 1392, - "y": 24 + "x": 624, + "y": -88 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", "data": { "name": "", - "clock": true + "value": "1", + "local": true }, "position": { - "x": 328, - "y": 56 + "x": 456, + "y": -256 } }, { - "id": "b8049244-9470-4da6-9236-056cae30e2be", - "type": "basic.inputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", "position": { - "x": 464, - "y": 56 + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "7db66dcb-a2d7-4a4e-bd3e-4e1ede1a1c6c", - "type": "basic.outputLabel", - "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 624, - "y": 56 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, - "position": { - "x": 328, - "y": 136 + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" } }, { - "id": "e5d3f97f-b628-4940-9a49-51803ae28038", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "red" + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" }, - "position": { - "x": 472, - "y": 136 - } + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 }, { - "id": "dc7c219d-3521-4ed7-a68e-f3378f43e4c3", - "type": "basic.inputLabel", + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "c" }, "position": { - "x": 1136, - "y": 144 + "x": 624, + "y": -168 } }, { - "id": "3d4643fc-e724-4f43-b80e-d10f3ba53ea6", - "type": "basic.outputLabel", + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 632, - "y": 144 + "x": 616, + "y": -88 } }, { - "id": "c13c690c-e207-40ed-b856-d3b1e40ed459", - "type": "basic.inputLabel", + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 464, - "y": 200 + "x": 232, + "y": -48 } }, { - "id": "d13e8ef7-99de-4b33-97e4-f6276c172ae8", - "type": "basic.input", + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", "data": { "name": "", - "virtual": true, - "range": "[2:0]", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } ], - "clock": false + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" }, "position": { - "x": 328, - "y": 200 + "x": 144, + "y": -472 } }, { - "id": "7df45c37-6984-463c-9500-7483678d9b25", + "id": "64015db2-163d-4858-966f-2f7282b187c9", "type": "basic.outputLabel", "data": { - "name": "load", - "blockColor": "royalblue" + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 }, "position": { - "x": 632, - "y": 224 + "x": 784, + "y": -440 } }, { - "id": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "type": "basic.output", + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", "data": { "name": "", - "virtual": true, - "range": "[2:0]", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", "pins": [ { - "index": "2", - "name": "NULL", - "value": "NULL" + "index": "7", + "name": "", + "value": "" }, { - "index": "1", - "name": "NULL", - "value": "NULL" + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 }, - "position": { - "x": 1392, - "y": 240 - } + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 }, { - "id": "416eb0f3-d54e-4601-8032-4e99d6fd5549", - "type": "basic.outputLabel", - "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 }, - "position": { - "x": 1248, - "y": 240 - } + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 }, { - "id": "f1022de4-0225-43d7-92d3-451161ee6942", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" }, - "position": { - "x": 328, - "y": 288 - } + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 }, { - "id": "2883d578-b5f1-4219-a19e-3f06411ec5da", - "type": "basic.inputLabel", - "data": { - "name": "load", - "blockColor": "royalblue" + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" }, - "position": { - "x": 464, - "y": 288 - } + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 }, { - "id": "de283e51-7f50-49c0-a7c0-8c962f28bb7f", - "type": "basic.output", - "data": { - "name": "nc" + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1400, - "y": 352 + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, - "position": { - "x": 960, - "y": -24 - } + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 }, { - "id": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "type": "2e676a6ecf31a8ce4a32e9dd4addecebd56035a8", - "position": { - "x": 960, - "y": 96 + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" }, - "size": { - "width": 96, - "height": 96 - } + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 }, { - "id": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "type": "82390470268cdc44b35b5df195d173c6284275ac", - "position": { - "x": 784, - "y": 128 + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } - } - ], - "wires": [ + }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" }, "target": { - "block": "b8049244-9470-4da6-9236-056cae30e2be", - "port": "inlabel" - } + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 }, { "source": { - "block": "23c0248b-d48e-4388-b61a-b363a92491b1", - "port": "outlabel" + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 }, { "source": { - "block": "f1022de4-0225-43d7-92d3-451161ee6942", - "port": "out" + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "2883d578-b5f1-4219-a19e-3f06411ec5da", - "port": "inlabel" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { "source": { - "block": "7df45c37-6984-463c-9500-7483678d9b25", - "port": "outlabel" + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 }, { "source": { - "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", - "port": "out" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "e5d3f97f-b628-4940-9a49-51803ae28038", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "207472c3-0ab2-423f-b05a-6c0b1fd4dc0a", - "port": "outlabel" + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "892d93f1-9c4c-46c1-82c7-ff9702a5725a" + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" }, - "vertices": [ - { - "x": 912, - "y": 88 - } - ] + "size": 32 }, { "source": { - "block": "d13e8ef7-99de-4b33-97e4-f6276c172ae8", - "port": "out", - "size": 3 + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" }, "target": { - "block": "c13c690c-e207-40ed-b856-d3b1e40ed459", - "port": "inlabel" + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" }, - "size": 3 + "size": 24 }, { "source": { - "block": "3d4643fc-e724-4f43-b80e-d10f3ba53ea6", - "port": "outlabel" + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "5d76398a-adab-453c-b881-9427113a3ad6", - "size": 3 + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" }, - "size": 3 + "size": 16 }, { "source": { - "block": "7db66dcb-a2d7-4a4e-bd3e-4e1ede1a1c6c", - "port": "outlabel" + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "5adca4be-dbf7-425a-955a-a08841809a44", - "size": 3 + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" }, - "size": 3 + "size": 32 }, { "source": { - "block": "416eb0f3-d54e-4601-8032-4e99d6fd5549", - "port": "outlabel" + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" }, "target": { - "block": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "port": "in", - "size": 3 + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" }, - "size": 3 + "size": 24 }, { "source": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "395c4e08-67c9-42af-9898-7c62dff924cd", - "size": 3 + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" }, "target": { - "block": "dc7c219d-3521-4ed7-a68e-f3378f43e4c3", - "port": "inlabel" + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" }, - "size": 3 + "size": 16 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 }, { "source": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "85e789f7-4c87-4159-9eb2-9d140b76259e" + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "a16f83be-f2c1-4cc8-9342-2a9a945fd148" + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" }, - "size": 3 + "size": 32 } ] } } }, - "2e676a6ecf31a8ce4a32e9dd4addecebd56035a8": { + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { "package": { - "name": "Sys-reg-rst-02", - "version": "0.3", - "description": "Sys-reg-rst-02: Two bits system register with reset", + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "58b0158f-8daf-43f9-85be-b027d3f3cf4b", - "type": "basic.output", + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", "data": { - "name": "nc", + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" }, "position": { - "x": 1120, - "y": -56 + "x": 16, + "y": -616 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "c" }, "position": { - "x": 200, - "y": 8 + "x": 960, + "y": -608 } }, { - "id": "7df48c38-6663-4c79-89a1-2b45c9d9d2de", - "type": "basic.inputLabel", + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", "data": { - "name": "clk", - "blockColor": "yellow" + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 344, - "y": 8 + "x": 352, + "y": -584 } }, { - "id": "5dcbf0d4-9205-4315-8f46-fafe34edb2e4", + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", "type": "basic.output", "data": { - "name": "nc", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 1120, - "y": 48 + "x": 960, + "y": -544 } }, { - "id": "9e53a4a7-f63d-484d-b665-0dec9f235f40", + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", "type": "basic.outputLabel", "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 800, - "y": 80 - } - }, - { - "id": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 }, "position": { - "x": 200, - "y": 112 + "x": 184, + "y": -472 } }, { - "id": "ac06d304-adc4-4964-9009-9ae09c6a7bc5", + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", "type": "basic.inputLabel", "data": { - "name": "rst", - "blockColor": "fuchsia" - }, - "position": { - "x": 344, - "y": 112 - } - }, - { - "id": "395c4e08-67c9-42af-9898-7c62dff924cd", - "type": "basic.output", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", + "name": "b1", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 1112, - "y": 176 + "x": 8, + "y": -440 } }, { - "id": "7e2787ef-9284-4e7c-9ff9-29a3fa4f735c", + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", "type": "basic.outputLabel", "data": { - "name": "data", - "range": "[2:0]", + "name": "b0", + "range": "[3:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 4 }, "position": { - "x": 576, - "y": 176 + "x": 184, + "y": -408 } }, { - "id": "a16f83be-f2c1-4cc8-9342-2a9a945fd148", + "id": "63477487-9493-4058-a7e1-9bab443ec466", "type": "basic.input", "data": { "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 200, - "y": 224 + "x": -320, + "y": -384 } }, { - "id": "e5b765b3-9b12-4382-90a5-b9c081bc1e89", + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", "type": "basic.inputLabel", "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, + "name": "b0", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 344, - "y": 224 + "x": 8, + "y": -368 } }, { - "id": "cca03129-ade7-4010-9492-e6b902848751", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia" - }, + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 584, - "y": 264 + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 936, - "y": -56 + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "c72ef057-f320-4254-8394-8eea52ab141f", - "type": "1888274878cfb0e03abcf72bf85f5151ca042184", + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", "position": { - "x": 936, - "y": 160 + "x": 352, + "y": -456 }, "size": { "width": 96, @@ -29533,725 +29479,618 @@ } }, { - "id": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "type": "82390470268cdc44b35b5df195d173c6284275ac", + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", "position": { "x": 760, - "y": 160 + "y": -544 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "a855343e-51e6-4d81-a274-050813be47bc", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", "position": { - "x": 576, - "y": 80 + "x": 520, + "y": -600 }, "size": { "width": 96, - "height": 64 + "height": 96 } } ], "wires": [ { "source": { - "block": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", - "port": "out" + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "ac06d304-adc4-4964-9009-9ae09c6a7bc5", + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", "port": "inlabel" - } - }, - { - "source": { - "block": "cca03129-ade7-4010-9492-e6b902848751", - "port": "outlabel" }, - "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "size": 4 }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "7df48c38-6663-4c79-89a1-2b45c9d9d2de", + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", "port": "inlabel" - } - }, - { - "source": { - "block": "9e53a4a7-f63d-484d-b665-0dec9f235f40", - "port": "outlabel" }, - "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "size": 4 }, { "source": { - "block": "7e2787ef-9284-4e7c-9ff9-29a3fa4f735c", - "port": "outlabel" + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "5d76398a-adab-453c-b881-9427113a3ad6", - "size": 3 + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "a16f83be-f2c1-4cc8-9342-2a9a945fd148", - "port": "out", - "size": 3 + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "e5b765b3-9b12-4382-90a5-b9c081bc1e89", + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" }, "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" }, "target": { - "block": "a855343e-51e6-4d81-a274-050813be47bc", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "a855343e-51e6-4d81-a274-050813be47bc", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" }, "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "5adca4be-dbf7-425a-955a-a08841809a44" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "size": 3 + "size": 4 }, { "source": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "85e789f7-4c87-4159-9eb2-9d140b76259e" + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" }, "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "size": 3 + "size": 4 }, { "source": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "f407aea6-be81-48a5-bf87-5ca0d08cf734" + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" }, "target": { - "block": "395c4e08-67c9-42af-9898-7c62dff924cd", - "port": "in" - }, - "size": 3 - } - ] - } - } - }, - "1888274878cfb0e03abcf72bf85f5151ca042184": { - "package": { - "name": "Sys-reg-02", - "version": "0.3", - "description": "Sys-reg-02: Two bits system register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e0d81a47-d26f-476b-be1f-e4d36eea4692", - "type": "basic.output", - "data": { - "name": "nc", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "position": { - "x": 944, - "y": 40 - } + "size": 8 }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "f407aea6-be81-48a5-bf87-5ca0d08cf734", - "type": "basic.output", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "position": { - "x": 944, - "y": 128 - } + "size": 8 }, { - "id": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b", - "type": "basic.input", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": 368, - "y": 168 - } - }, - { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" }, - "position": { - "x": 648, - "y": -48 - } + "size": 4 }, - { - "id": "a488e8ac-cd04-4656-9880-218437a4aba0", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[2:0]", - "size": 3 - } - ], - "out": [ - { - "name": "q", - "range": "[2:0]", - "size": 3 - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 3;\n\n//-- Initial value\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;\n \n\n" - }, - "position": { - "x": 536, - "y": 64 - }, - "size": { - "width": 320, - "height": 184 - } - } - ], - "wires": [ { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "INI" - } + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "clk" - } + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "q" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "f407aea6-be81-48a5-bf87-5ca0d08cf734", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" - }, - "size": 3 + } }, { "source": { - "block": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b", - "port": "out" + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "d" - }, - "size": 3 + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } } ] } } }, - "82390470268cdc44b35b5df195d173c6284275ac": { + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { "package": { - "name": "3-bits-Mux-2-1", + "name": "Adder-4bits", "version": "0.1", - "description": "2-to-1 Multplexer (3-bit channels)", + "description": "Adder-4bits: Adder of two operands of 4 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "type": "basic.outputLabel", + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 728, - "y": 72 + "x": 8, + "y": -744 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", "type": "basic.outputLabel", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" }, "position": { - "x": 728, - "y": 144 + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 424, - "y": 168 + "x": 8, + "y": -688 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "fuchsia", + "name": "b3", "oldBlockColor": "fuchsia" }, "position": { - "x": 728, - "y": 216 + "x": 576, + "y": -672 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A1" + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 432, - "y": 240 + "x": 8, + "y": -632 } }, { - "id": "5adca4be-dbf7-425a-955a-a08841809a44", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", "type": "basic.input", "data": { - "name": "1", - "range": "[2:0]", + "name": "", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 120, - "y": 240 + "x": -312, + "y": -632 } }, { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "A0", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 424, - "y": 312 + "x": 456, + "y": -584 } }, { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A1", + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 720, - "y": 328 + "x": 8, + "y": -576 } }, { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", "type": "basic.outputLabel", "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" - }, - "position": { - "x": 720, - "y": 416 - } - }, - { - "id": "85e789f7-4c87-4159-9eb2-9d140b76259e", - "type": "basic.output", - "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1264, - "y": 416 + "x": 456, + "y": -528 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 456, - "y": 480 + "x": -8, + "y": -448 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "deeppink", + "name": "a1", "oldBlockColor": "fuchsia" }, "position": { - "x": 720, - "y": 488 + "x": 328, + "y": -440 } }, { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B1", + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 456, - "y": 544 + "x": -8, + "y": -384 } }, { - "id": "5d76398a-adab-453c-b881-9427113a3ad6", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", "type": "basic.input", "data": { - "name": "0", - "range": "[2:0]", + "name": "", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 120, - "y": 544 + "x": -312, + "y": -384 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "A0" + "name": "b1" }, "position": { - "x": 720, - "y": 568 + "x": 328, + "y": -376 } }, { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "name": "s", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 456, - "y": 608 + "x": 1064, + "y": -368 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 720, - "y": 640 + "x": -16, + "y": -320 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", "data": { - "name": "sel", - "clock": false + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 136, - "y": 672 + "x": 184, + "y": -296 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "id": "b652825e-10ba-47cc-9832-e39d73586234", "type": "basic.inputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 296, - "y": 672 + "x": -32, + "y": -264 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 712, - "y": 720 - } - }, - { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 864, - "y": 400 - }, - "size": { - "width": 96, - "height": 96 + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 } }, { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 864, - "y": 624 + "x": 320, + "y": -248 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", - "type": "basic.info", - "data": { - "info": "Channel A", - "readonly": true - }, + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 288, - "y": 184 + "x": 464, + "y": -392 }, "size": { - "width": 144, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", - "data": { - "info": "Channel B", - "readonly": true - }, + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 312, - "y": 488 + "x": 608, + "y": -544 }, "size": { - "width": 144, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1040, - "y": 400 + "x": -168, + "y": -416 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 872, - "y": 128 + "x": -152, + "y": -664 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 296, - "y": 528 + "x": 896, + "y": -400 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 280, - "y": 224 + "x": 744, + "y": -688 }, "size": { "width": 96, @@ -30262,536 +30101,723 @@ "wires": [ { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" } }, { "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" } }, { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", - "port": "inlabel" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "port": "inlabel" } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "port": "inlabel" } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "port": "inlabel" } }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" } }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "block": "3c8597e6-ca79-494a-9a53-04c284205216", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", - "port": "outlabel" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" } }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" }, - "vertices": [] + "vertices": [ + { + "x": -24, + "y": -696 + } + ] }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "port": "inlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "port": "inlabel" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" } }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "85e789f7-4c87-4159-9eb2-9d140b76259e", - "port": "in" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "size": 3 + "vertices": [ + { + "x": 824, + "y": -424 + } + ] }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 }, { "source": { - "block": "5d76398a-adab-453c-b881-9427113a3ad6", + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", "port": "out" }, "target": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "size": 3 + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } }, { "source": { - "block": "5adca4be-dbf7-425a-955a-a08841809a44", - "port": "out" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" - }, - "size": 3 + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } } ] } } }, - "6f680c6417b9b58e89e7bdc471b831693ec12d1f": { + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { "package": { - "name": "comp2-3bits", + "name": "AdderC-4bits", "version": "0.1", - "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" }, "position": { - "x": 904, - "y": 0 + "x": 576, + "y": -736 } }, { - "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", + "blockColor": "deeppink", "name": "a2", - "oldBlockColor": "fuchsia" + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 672, - "y": 64 + "x": 8, + "y": -688 } }, { - "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", "type": "basic.outputLabel", "data": { - "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 72 + "x": 576, + "y": -672 } }, { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a1" + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 672, - "y": 120 + "x": 8, + "y": -632 } }, { - "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 360, - "y": 120 + "x": -312, + "y": -632 } }, { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a1" + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 904, - "y": 176 + "x": 456, + "y": -584 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a0" + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 672, - "y": 176 + "x": 8, + "y": -576 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1416, - "y": 232 + "x": 456, + "y": -528 } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", - "name": "b1", + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 248 + "x": -8, + "y": -448 } }, { - "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "type": "basic.inputLabel", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", "data": { "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "name": "a1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 272 + "x": 328, + "y": -440 } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", - "name": "b1", + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 328 + "x": -8, + "y": -384 } }, { - "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 368, - "y": 328 + "x": -312, + "y": -384 } }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", "name": "a0", "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 344 + "x": 184, + "y": -296 } }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "id": "b652825e-10ba-47cc-9832-e39d73586234", "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", + "blockColor": "fuchsia", "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 384 + "x": -32, + "y": -264 } }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "blockColor": "deeppink", + "blockColor": "fuchsia", "name": "b0", "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 416 + "x": 184, + "y": -232 } }, { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 400 + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -296, + "y": -168 } }, { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1056, - "y": 232 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", - "type": "basic.info", - "data": { - "info": "A", - "readonly": true - }, + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 408, - "y": 104 + "x": 608, + "y": -544 }, "size": { - "width": 80, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", - "type": "basic.info", - "data": { - "info": "B", - "readonly": true - }, + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 408, - "y": 304 + "x": -168, + "y": -416 }, "size": { - "width": 80, - "height": 32 + "width": 96, + "height": 128 } }, { - "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 528, - "y": 104 + "x": -152, + "y": -664 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 528, - "y": 312 + "x": 896, + "y": -400 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "398207eb-18f3-43a4-b4e5-481480023848", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1056, - "y": 56 + "x": 744, + "y": -688 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1272, - "y": 216 + "x": 320, + "y": -248 }, "size": { "width": 96, @@ -30802,384 +30828,592 @@ "wires": [ { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "block": "b652825e-10ba-47cc-9832-e39d73586234", "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "port": "inlabel" + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" } }, { "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "port": "inlabel" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "block": "73a0a48e-d345-4eda-8603-782e9865d928", "port": "inlabel" } }, { "source": { - "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "block": "3c8597e6-ca79-494a-9a53-04c284205216", "port": "outlabel" }, "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, - "vertices": [] + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } }, { "source": { - "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", "port": "outlabel" }, "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" }, - "vertices": [] + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", "port": "out" }, "target": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "size": 3 + "size": 4 }, { "source": { - "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", "port": "out" }, "target": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] }, { "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { "source": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } } ] } } }, - "097e2f8e1d86d74bb4b81e142ef453a72f74dab2": { + "c3c498191b14e9288a85fa2871b3966665f75475": { "package": { - "name": "Inc1-3bits", + "name": "AdderC-8bits", "version": "0.1", - "description": "Inc1-3bit: Increment a 3-bits number by one", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", "data": { - "name": "c" + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" }, "position": { - "x": 624, - "y": -168 + "x": -64, + "y": -648 } }, { - "id": "65ab43b8-eebf-407e-a137-91891b821643", + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[7:0]", "clock": false, - "size": 3 + "size": 8 }, "position": { - "x": 272, - "y": -152 + "x": -408, + "y": -584 } }, { - "id": "f854d138-c12e-4178-8523-b71331cd29a6", - "type": "basic.output", + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", "data": { - "name": "s", - "range": "[2:0]", - "size": 3 + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" }, "position": { - "x": 632, - "y": -96 + "x": -64, + "y": -568 } }, { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "value": "1", - "local": true + "name": "c" }, "position": { - "x": 456, - "y": -256 + "x": 832, + "y": -480 } }, { - "id": "34e391c9-ac69-470b-863d-5482a02b3438", - "type": "75e5396495e2cfaa31e14ecf930abc2d3bdd3866", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 248, + "y": -456 } }, { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" }, - "target": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + "position": { + "x": -80, + "y": -448 } }, { - "source": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "b476ef80-6022-4dd3-962e-9efc90d730c5" - }, - "target": { - "block": "f854d138-c12e-4178-8523-b71331cd29a6", - "port": "in" + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "size": 3 + "position": { + "x": -400, + "y": -392 + } }, { - "source": { - "block": "65ab43b8-eebf-407e-a137-91891b821643", - "port": "out" - }, - "target": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "9f76c005-39aa-4ac8-842a-6249a18711c8" - }, - "size": 3 - } - ] - } - } - }, - "75e5396495e2cfaa31e14ecf930abc2d3bdd3866": { - "package": { - "name": "AdderK-3bits CLONE", - "version": "0.1", - "description": "AdderK-3bit: Adder of 3-bit operand and 3-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", "data": { - "name": "c" + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 624, - "y": -168 + "x": 248, + "y": -376 } }, { - "id": "b476ef80-6022-4dd3-962e-9efc90d730c5", + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", "type": "basic.output", "data": { "name": "s", - "range": "[2:0]", - "size": 3 + "range": "[7:0]", + "size": 8 }, "position": { - "x": 624, - "y": -64 + "x": 840, + "y": -336 } }, { - "id": "9f76c005-39aa-4ac8-842a-6249a18711c8", - "type": "basic.input", + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, "position": { - "x": 304, - "y": -48 + "x": 120, + "y": -304 } }, { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 288, - "y": -272 + "x": 120, + "y": -232 } }, { - "id": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, "position": { - "x": 288, + "x": -392, "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 }, "size": { "width": 96, @@ -31187,929 +31421,1165 @@ } }, { - "id": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "type": "4898bbaf0126034e1995d1777b4faa914cf1ee3a", + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 456, - "y": -152 + "x": -240, + "y": -584 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ { "source": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 }, { "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 }, { "source": { - "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "c776ec7e-ada9-42ea-be1c-5104577d4e5e" + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9f76c005-39aa-4ac8-842a-6249a18711c8", - "port": "out" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "2f4012a8-b7ac-481d-9158-bfeb88e44d67" + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "f33cb471-7816-45bf-b02b-a6c46f66a592" + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" }, "target": { - "block": "b476ef80-6022-4dd3-962e-9efc90d730c5", - "port": "in" - }, - "size": 3 - } - ] - } - } - }, - "4898bbaf0126034e1995d1777b4faa914cf1ee3a": { - "package": { - "name": "Adder-3bits", - "version": "0.1", - "description": "Adder-3bits: Adder of two operands of 3 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "position": { - "x": 456, - "y": -584 - } + "size": 4 }, { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" }, - "position": { - "x": -32, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "position": { - "x": 944, - "y": -552 - } + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 }, { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" }, - "position": { - "x": 456, - "y": -528 - } + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 }, { - "id": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": -312, - "y": -496 + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, - "position": { - "x": -32, - "y": -496 + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" }, - "position": { - "x": 328, - "y": -440 - } + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" }, - "position": { - "x": -32, - "y": -432 - } + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, - "position": { - "x": 328, - "y": -376 - } + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 }, { - "id": "f33cb471-7816-45bf-b02b-a6c46f66a592", - "type": "basic.output", - "data": { - "name": "s", - "range": "[2:0]", - "size": 3 + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": 952, - "y": -352 - } + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": -32, - "y": -304 - } + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 }, { - "id": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "1", + "range": "[7:0]", "clock": false, - "size": 3 + "size": 8 }, "position": { - "x": -320, - "y": -232 + "x": 120, + "y": 168 } }, { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "", + "range": "[15:0]", + "size": 16 }, "position": { - "x": -32, - "y": -232 + "x": 632, + "y": 200 } }, { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 184, - "y": -192 + "x": 120, + "y": 264 } }, { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } }, "position": { - "x": -32, - "y": -160 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" + "x": 296, + "y": 176 }, - "position": { - "x": 184, - "y": -128 + "size": { + "width": 272, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 }, { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" }, - "size": { - "width": 96, - "height": 96 - } + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 }, { - "id": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", - "position": { - "x": -176, - "y": -248 + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 } }, { - "id": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", - "position": { - "x": -168, - "y": -512 + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 640, + "y": 200 } }, { - "id": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", - "position": { - "x": 792, - "y": -368 + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 120, + "y": 264 } }, { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, "position": { - "x": 608, - "y": -544 + "x": 296, + "y": 176 }, "size": { - "width": 96, - "height": 96 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" }, "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } + "size": 16 }, { "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } + "size": 24 }, { "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" }, "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" + "position": { + "x": 592, + "y": 152 } }, { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 72, + "y": 208 } }, { - "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" + "position": { + "x": 592, + "y": 232 } }, { - "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 } - }, + } + ], + "wires": [ { "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" }, "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 }, { "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "position": { + "x": 600, + "y": 128 } }, { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "position": { + "x": 96, + "y": 200 } }, { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "position": { + "x": 592, + "y": 224 } }, { - "source": { - "block": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", - "port": "out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "position": { + "x": 272, + "y": 168 }, - "size": 3 - }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", "port": "out" }, "target": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 3 + "size": 24 }, { "source": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "f33cb471-7816-45bf-b02b-a6c46f66a592", + "block": "4ca7b72f-724d-435c-8490-645c338586db", "port": "in" }, - "size": 3 - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } + "size": 16 }, { "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "872cccab-7461-412b-9924-bad211fa1922", "port": "in" - } + }, + "size": 8 } ] } } }, - "32f4dc71b91d2cfbd55c5982a436afb666f277f6": { + "306ca367fbbc5181b3c709d73f447e0710871a1d": { "package": { - "name": "Unit-ns-4bits", + "name": "Bus16-Split-half", "version": "0.1", - "description": "Unit-ns-4bits: ns to cycles converter. Max value: 1250 ns (15 cycles)", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22197.4%22%20height=%2296.404%22%20viewBox=%220%200%2052.228676%2025.507021%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%20font-size=%2244.42%22%20fill=%22green%22%20stroke-width=%22.265%22%20font-weight=%22700%22%3E%3Cpath%20d=%22M24.423%2010.086v14.792h-7.809V13.556q0-3.145-.152-4.338-.13-1.193-.477-1.757-.455-.759-1.236-1.171-.78-.434-1.779-.434-2.429%200-3.817%201.887-1.388%201.866-1.388%205.184v11.951H0V.586h7.765v3.557q1.757-2.126%203.73-3.124Q13.47%200%2015.856%200q4.208%200%206.377%202.581%202.19%202.581%202.19%207.505zM50.602%201.345v5.9q-2.494-1.042-4.815-1.562-2.32-.52-4.381-.52-2.213%200-3.297.563-1.063.542-1.063%201.692%200%20.933.802%201.431.825.5%202.929.738l1.366.195q5.965.76%208.025%202.494%202.06%201.736%202.06%205.444%200%203.883-2.862%205.835-2.863%201.952-8.546%201.952-2.408%200-4.989-.39-2.56-.37-5.27-1.128v-5.9q2.32%201.128%204.75%201.692%202.45.564%204.967.564%202.277%200%203.427-.63%201.15-.628%201.15-1.864%200-1.042-.803-1.54-.781-.52-3.145-.803l-1.367-.173q-5.184-.651-7.266-2.408t-2.082-5.336q0-3.86%202.646-5.726Q35.484%200%2040.95%200q2.147%200%204.512.325%202.364.326%205.14%201.02z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1658085310528 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "type": "basic.output", "data": { - "name": "n", - "virtual": true, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "1", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 952, - "y": 488 + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 }, { - "id": "baa35392-0185-43dc-9b99-bb34e31e987d", - "type": "basic.constant", - "data": { - "name": "F", - "value": "12000000", - "local": false + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "position": { - "x": 520, - "y": 344 - } + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 }, { - "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", - "type": "basic.constant", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", "data": { - "name": "ns", - "value": "583", - "local": false + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 720, - "y": 344 + "x": 120, + "y": 104 } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", "data": { - "info": "Input parameter: Nanoseconds \n* Max value: 1250 ns (15 cycles)", - "readonly": true + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 712, - "y": 256 - }, - "size": { - "width": 304, - "height": 64 + "x": 648, + "y": 200 } }, { - "id": "0b858727-f8ad-4c64-882b-640b0edba180", - "type": "basic.info", + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", "data": { - "info": "Input parameter: \nSystem Frequency", - "readonly": true + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 480, - "y": 264 - }, - "size": { - "width": 280, - "height": 48 + "x": 120, + "y": 224 } }, { - "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 + } + ], "out": [ { - "name": "n", - "range": "[3:0]", - "size": 4 + "name": "o", + "range": "[31:0]", + "size": 32 } ] - }, - "params": [ - { - "name": "F" - }, - { - "name": "NS" - } - ], - "code": "localparam Cycles = $ceil(1.0e-9 * F * NS);\n\nassign n = Cycles;\n\n" + } }, "position": { - "x": 472, - "y": 464 + "x": 296, + "y": 176 }, "size": { - "width": 392, - "height": 112 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", - "port": "constant-out" + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" }, "target": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "NS" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "baa35392-0185-43dc-9b99-bb34e31e987d", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "F" + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "n" + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" }, "target": { - "block": "dddbb1a2-a5e6-423e-946f-8ca33838450a", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 4 + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 } ] } } }, - "5130094eb1e58828b8c072275acd105cee6a71ac": { + "a63735be57457fe4a3aad098b06ba4a251615267": { "package": { - "name": "Mach-count-1-bit", - "version": "0.2", - "description": "Mach-count-1-bit: 1-bit count machine", + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621619608318 + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 }, "design": { "graph": { "blocks": [ { - "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 1320, - "y": 8 - } - }, - { - "id": "1619287c-6197-46f8-95b5-e0a8ac103782", - "type": "basic.inputLabel", - "data": { - "name": "busy", - "blockColor": "navy" - }, - "position": { - "x": 1600, - "y": 72 - } - }, - { - "id": "906db813-b008-4932-a78c-39f15e593412", - "type": "basic.outputLabel", + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", "data": { - "name": "start_ok", - "blockColor": "orangered" + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1248, - "y": 72 + "x": -96, + "y": 184 } }, { "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "busy" + "name": "" }, "position": { - "x": 2064, - "y": 88 + "x": 392, + "y": 224 } }, { - "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "type": "basic.outputLabel", + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy" + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1904, - "y": 88 + "x": -96, + "y": 256 } }, { - "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "type": "basic.outputLabel", + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", "data": { - "name": "start", - "blockColor": "orangered" + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" }, "position": { - "x": 776, - "y": 104 + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 } - }, + } + ], + "wires": [ { - "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "type": "basic.inputLabel", - "data": { - "name": "start_ok", - "blockColor": "orangered" + "source": { + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" }, - "position": { - "x": 1072, - "y": 120 - } + "size": 32 }, { - "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" }, - "position": { - "x": 1256, - "y": 128 - } + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 }, { - "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy" + "source": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" }, - "position": { - "x": 472, - "y": 184 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } - }, + } + ] + } + } + }, + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { + "package": { + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ { "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", @@ -32118,1093 +32588,1265 @@ "clock": true }, "position": { - "x": 120, - "y": 200 + "x": 560, + "y": 64 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "nc" }, "position": { - "x": 272, - "y": 200 + "x": 1184, + "y": 72 } }, { - "id": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", "type": "basic.output", "data": { - "name": "n" + "name": "nc" }, "position": { - "x": 2064, - "y": 200 + "x": 1184, + "y": 144 } }, { - "id": "58724402-b8ba-4209-ae9d-8275c0de2b9b", - "type": "basic.outputLabel", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "n", - "oldBlockColor": "fuchsia" + "name": "rst", + "clock": false }, "position": { - "x": 1904, - "y": 200 + "x": 560, + "y": 168 } }, { - "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "type": "basic.output", "data": { - "name": "exec" + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 2064, - "y": 280 + "x": 1184, + "y": 224 } }, { - "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "type": "basic.outputLabel", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "name": "exec", - "blockColor": "darkgreen" + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1904, - "y": 280 + "x": 560, + "y": 272 } }, { - "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "type": "basic.outputLabel", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "start_ok", - "blockColor": "orangered" + "name": "load", + "clock": false }, "position": { - "x": 1264, - "y": 280 + "x": 560, + "y": 376 } }, { - "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", - "type": "basic.input", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "name": "nc", - "clock": false + "name": "nc" }, "position": { - "x": 120, - "y": 288 + "x": 1184, + "y": 376 } }, { - "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "type": "basic.outputLabel", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 464, - "y": 304 + "x": 864, + "y": -80 } }, { - "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "type": "basic.outputLabel", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 1264, - "y": 352 + "x": 720, + "y": 40 + }, + "size": { + "width": 376, + "height": 424 } + } + ], + "wires": [ + { + "source": { + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] }, { - "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "type": "basic.output", - "data": { - "name": "done" + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, - "position": { - "x": 2064, - "y": 360 - } + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 }, { - "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 1896, - "y": 360 + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "start", - "clock": false + "source": { + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, - "position": { - "x": 120, - "y": 376 - } + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 }, { - "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "orangered" + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, - "position": { - "x": 272, - "y": 376 + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { - "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "type": "basic.outputLabel", + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", "data": { - "blockColor": "fuchsia", "name": "n", - "oldBlockColor": "fuchsia" + "range": "[31:0]", + "size": 32 }, "position": { - "x": 464, - "y": 384 + "x": 952, + "y": 496 } }, { - "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "type": "basic.input", + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", "data": { - "name": "next", - "clock": false + "name": "F", + "value": "12000000", + "local": false }, "position": { - "x": 120, - "y": 448 + "x": 520, + "y": 344 } }, { - "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "type": "basic.inputLabel", + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "next", - "oldBlockColor": "fuchsia" + "name": "ms", + "value": "1", + "local": false }, "position": { - "x": 272, - "y": 448 + "x": 720, + "y": 344 } }, { - "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "type": "basic.inputLabel", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", "data": { - "name": "done", - "blockColor": "springgreen" + "info": "Input parameter: Milieconds ", + "readonly": true }, "position": { - "x": 1768, - "y": 464 + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 } }, { - "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "type": "basic.outputLabel", + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", "data": { - "name": "clk", - "blockColor": "yellow" + "info": "Input parameter: \nSystem Frequency", + "readonly": true }, "position": { - "x": 1208, - "y": 480 + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 } }, { - "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "type": "basic.inputLabel", + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", "data": { - "name": "exec", - "blockColor": "darkgreen" + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" }, "position": { - "x": 1768, - "y": 552 + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 } - }, + } + ], + "wires": [ { - "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" }, - "position": { - "x": 1208, - "y": 552 - } + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] }, { - "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "type": "basic.outputLabel", - "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" }, - "position": { - "x": 1208, - "y": 616 - } + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 }, { - "id": "b979a290-8482-4816-8d68-f70939ce8171", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "n", - "oldBlockColor": "fuchsia" + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" }, - "position": { - "x": 1504, - "y": 624 + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" } - }, + } + ] + } + } + }, + "184ac2fa057dc5b8c26ab5b3cc64918faae55707": { + "package": { + "name": "count-5bits", + "version": "0.2", + "description": "Máquina de contar, de 5 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "type": "basic.outputLabel", + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", "data": { - "name": "next", - "blockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 480, - "y": 656 + "x": 592, + "y": -416 } }, { - "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", "type": "basic.inputLabel", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 760, - "y": 672 + "x": 736, + "y": -416 } }, { - "id": "482450af-9607-41e7-8b88-fce7c6db39c4", + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", "type": "basic.outputLabel", "data": { - "name": "busy", - "blockColor": "navy" - }, - "position": { - "x": 480, - "y": 712 - } - }, - { - "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "type": "370abb401e524efd3125464b094b41328bfde9b4", - "position": { - "x": 1624, - "y": 536 + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", "position": { - "x": 1448, - "y": 56 - }, - "size": { - "width": 96, - "height": 96 + "x": 1416, + "y": -352 } }, { - "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 1400, - "y": 296 + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "449485cd-f705-4548-9903-d6be855de032", - "type": "262b64dbc4c6d23c2e7e63809e5bd86e11a3b3ba", "position": { - "x": 1368, - "y": 536 - }, - "size": { - "width": 96, - "height": 96 + "x": 952, + "y": -304 } }, { - "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", - "type": "basic.info", + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", "data": { - "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", - "readonly": true - }, - "position": { - "x": 1448, - "y": -72 - }, - "size": { - "width": 232, - "height": 64 + "blockColor": "fuchsia", + "name": "rst" + }, + "position": { + "x": 1416, + "y": -288 } }, { - "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 752, - "y": 248 + "id": "40607400-436e-4dea-b733-8308a06fd4ef", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 584, + "y": -224 } }, { - "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 608, - "y": 184 + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "type": "basic.output", + "data": { + "name": "Busy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2312, + "y": -216 } }, { - "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 320 + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2152, + "y": -216 } }, { - "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", - "type": "basic.info", + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", "data": { - "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(n=1 while busy=1)", - "readonly": true + "blockColor": "fuchsia", + "name": "rst" }, "position": { - "x": 880, - "y": -40 - }, - "size": { - "width": 352, - "height": 88 + "x": 952, + "y": -192 } }, { - "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 928, - "y": 120 + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 584, + "y": -136 } }, { - "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", - "type": "basic.info", + "id": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", + "type": "basic.inputLabel", "data": { - "info": "Machine ON \nand Last cycle", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 752, - "y": 336 - }, - "size": { - "width": 160, - "height": 64 + "x": 1824, + "y": -104 } }, { - "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", - "type": "basic.info", + "id": "c0798d84-418e-4a6f-990f-d5d2c1660d21", + "type": "basic.outputLabel", "data": { - "info": "Machine off", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 120, - "height": 40 + "x": 1200, + "y": -104 } }, { - "id": "00570e82-8758-4024-8196-b3ae57c2985f", - "type": "basic.info", + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", "data": { - "info": "Enable the start \nsignal", - "readonly": true + "blockColor": "fuchsia", + "name": "start" }, "position": { - "x": 960, - "y": 200 - }, - "size": { - "width": 152, - "height": 56 + "x": 944, + "y": -72 } }, { - "id": "32c772b8-db82-47f0-b563-22e154322fff", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 672 + "id": "565f2a63-c8a8-45e7-9123-a507fbd077ef", + "type": "basic.output", + "data": { + "name": "n", + "range": "[4:0]", + "size": 5 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2320, + "y": -72 } }, { - "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", - "type": "basic.info", + "id": "07ec322c-26e6-486b-90ed-6032780b2d1c", + "type": "basic.outputLabel", "data": { - "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 568, - "y": 552 - }, - "size": { - "width": 240, - "height": 80 + "x": 2160, + "y": -72 } }, { - "id": "001bf141-0507-4212-8797-a815960a0876", - "type": "basic.info", + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", "data": { - "info": "Count cycle: n \n* 0: Initial cycle\n* 1: Last cycle", - "readonly": true + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1376, - "y": 664 - }, - "size": { - "width": 176, - "height": 72 + "x": 584, + "y": -24 } }, { - "id": "2f8ac35e-beec-4492-9cb5-69000ab61227", - "type": "basic.info", + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", "data": { - "info": "If n==0, execute the inner block \nif n==1, we are done", - "readonly": true + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1680, - "y": 640 - }, - "size": { - "width": 264, - "height": 56 + "x": 1472, + "y": 16 } }, { - "id": "b177799e-2dcd-4f59-9012-4518cce2e023", - "type": "basic.info", + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", "data": { - "info": "n=1", - "readonly": true + "blockColor": "fuchsia", + "name": "done" }, "position": { - "x": 1792, - "y": 432 - }, - "size": { - "width": 72, - "height": 40 + "x": 920, + "y": 24 } }, { - "id": "b4c0c895-71eb-433d-8c53-333d684c887e", - "type": "basic.info", + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", "data": { - "info": "n=0", - "readonly": true + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1896, - "y": 568 - }, - "size": { - "width": 72, - "height": 40 + "x": 2160, + "y": 104 } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" }, - "target": { - "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "port": "inlabel" + "position": { + "x": 2328, + "y": 104 } }, { - "source": { - "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "port": "outlabel" + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 1544, + "y": 136 } }, { - "source": { - "block": "58724402-b8ba-4209-ae9d-8275c0de2b9b", - "port": "outlabel" - }, - "target": { - "block": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", - "port": "in" + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 648, + "y": 152 + } }, { - "source": { - "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "port": "out" - }, - "target": { - "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "port": "inlabel" + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 1080, + "y": 168 + } }, { - "source": { - "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "port": "outlabel" - }, - "target": { - "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", - "port": "in" + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "vertices": [] + "position": { + "x": 616, + "y": 208 + } }, { - "source": { - "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "port": "outlabel" + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "port": "in" + "position": { + "x": 1352, + "y": 216 } }, { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "port": "inlabel" + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 2160, + "y": 248 + } }, { - "source": { - "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "port": "outlabel" - }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "21326921-3052-4ec8-8344-fc8210c08678" + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [] + "position": { + "x": 2320, + "y": 248 + } }, { - "source": { - "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "port": "outlabel" + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1952, + "y": 288 } }, { - "source": { - "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "port": "outlabel" + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + "position": { + "x": 1352, + "y": 288 } }, { - "source": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" }, - "target": { - "block": "1619287c-6197-46f8-95b5-e0a8ac103782", - "port": "inlabel" + "position": { + "x": 1680, + "y": 376 } }, { - "source": { - "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "port": "outlabel" + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1144, + "y": 376 } }, { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "id": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, - "target": { - "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "port": "inlabel" + "position": { + "x": 688, + "y": 552 } }, { - "source": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", + "data": { + "name": "N", + "value": "32", + "local": false }, - "target": { - "block": "b979a290-8482-4816-8d68-f70939ce8171", - "port": "inlabel" + "position": { + "x": 680, + "y": 344 } }, { - "source": { - "block": "906db813-b008-4932-a78c-39f15e593412", - "port": "outlabel" + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1352, + "y": -208 } }, { - "source": { - "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "port": "outlabel" + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1648, + "y": -256 } }, { - "source": { - "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "port": "outlabel" + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "port": "outlabel" + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1016, + "y": 376 }, - "target": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 }, - "target": { - "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "port": "outlabel" + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "position": { + "x": 1824, + "y": 288 }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "port": "outlabel" + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", + "data": { + "info": "**Reloj del sistema**", + "readonly": true }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 680, + "y": -472 + }, + "size": { + "width": 192, + "height": 40 } }, { - "source": { - "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "port": "outlabel" + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", + "data": { + "info": "**Estado de** \n**la máquina**", + "readonly": true }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 760, + "y": -248 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", + "data": { + "info": "Máquina encendida", + "readonly": true }, - "target": { - "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "port": "inlabel" + "position": { + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 } }, { - "source": { - "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "port": "outlabel" + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", + "data": { + "info": "Máquina apagada \n(rst = 1)", + "readonly": true }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 } }, { - "source": { - "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "port": "outlabel" + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", + "data": { + "info": "Tic de arranque", + "readonly": true }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + "position": { + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "482450af-9607-41e7-8b88-fce7c6db39c4", - "port": "outlabel" + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", + "data": { + "info": "Tic de fin", + "readonly": true }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 } }, { - "source": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", + "data": { + "info": "**Contador de ciclos**", + "readonly": true }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 1480, + "y": -424 }, - "vertices": [] + "size": { + "width": 224, + "height": 40 + } }, { - "source": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", + "data": { + "info": "Número de ciclos \ncontados", + "readonly": true }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1824, + "y": -160 }, - "vertices": [ - { - "x": 1544, - "y": 432 - } - ] + "size": { + "width": 160, + "height": 56 + } }, { - "source": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", + "data": { + "info": "Valor \nincrementado", + "readonly": true }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 } }, { - "source": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", + "data": { + "info": "### Salidas", + "readonly": true }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 } }, { - "source": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", + "data": { + "info": "Estado de la máquina", + "readonly": true }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 } - } - ] - } - } - }, - "262b64dbc4c6d23c2e7e63809e5bd86e11a3b3ba": { - "package": { - "name": "TFF.rst", - "version": "0.2", - "description": "System TFF with toggle input and reset: It toogles on every system cycle if the input is active", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "info": "Ciclo actual", + "readonly": true }, "position": { - "x": 440, - "y": -184 + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "c957f7b7-d95d-4f9a-afed-aa5f28228ca1", - "type": "basic.outputLabel", + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", "data": { - "name": "rst", - "blockColor": "red" + "info": "Cuenta finalizada", + "readonly": true }, "position": { - "x": 440, - "y": -120 + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Ejecutar el ciclo", + "readonly": true }, "position": { - "x": 80, - "y": -112 + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk" + "info": "Número de ciclos \na contar", + "readonly": true }, "position": { - "x": 232, - "y": -112 + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", "data": { - "name": "" + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true }, "position": { - "x": 800, - "y": -112 + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 } }, { - "id": "f20a4359-8546-4dda-aa5c-d08bfe4724a0", - "type": "basic.outputLabel", + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", "data": { - "name": "next", - "blockColor": "fuchsia" + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true }, "position": { - "x": 440, - "y": -48 + "x": 648, + "y": 88 + }, + "size": { + "width": 216, + "height": 80 } }, { - "id": "21326921-3052-4ec8-8344-fc8210c08678", - "type": "basic.input", + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", "data": { - "name": "rst", - "clock": false + "info": "Nuevo ciclo", + "readonly": true }, "position": { - "x": 80, - "y": -40 + "x": 920, + "y": 168 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "149c5b96-7e15-4766-aac5-33d8af3c3243", - "type": "basic.inputLabel", + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", + "type": "basic.info", "data": { - "name": "rst", - "blockColor": "red" + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", + "readonly": true }, "position": { - "x": 232, - "y": -40 + "x": 1128, + "y": 304 + }, + "size": { + "width": 208, + "height": 72 } }, { - "id": "8f321a4f-979f-46f9-b40f-524e6bc0008a", - "type": "basic.inputLabel", + "id": "9baabc30-8c27-4b55-92e4-d59783269162", + "type": "basic.info", "data": { - "name": "next", - "blockColor": "fuchsia" + "info": "Apagar la \nmáquina", + "readonly": true }, "position": { - "x": 880, - "y": 16 + "x": 600, + "y": -64 + }, + "size": { + "width": 120, + "height": 56 } }, { - "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "type": "basic.input", + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", "data": { - "name": "t", - "clock": false + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true }, "position": { - "x": 80, - "y": 32 + "x": 1464, + "y": -32 + }, + "size": { + "width": 208, + "height": 56 } }, { - "id": "81f5e1f9-e867-4639-98b5-e12f7551751d", - "type": "basic.inputLabel", + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", "data": { - "name": "toggle", - "blockColor": "fuchsia" + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true }, "position": { - "x": 232, - "y": 32 + "x": 1680, + "y": 440 + }, + "size": { + "width": 248, + "height": 72 } }, { - "id": "a66e0e19-f794-47df-b52e-c663ff7fa3e2", - "type": "basic.outputLabel", + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", "data": { - "name": "toggle", - "blockColor": "fuchsia" + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true }, "position": { - "x": 440, - "y": 40 + "x": 1688, + "y": 168 + }, + "size": { + "width": 208, + "height": 80 } }, { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true }, "position": { - "x": 600, - "y": -232 + "x": 1432, + "y": 432 + }, + "size": { + "width": 256, + "height": 72 } }, { - "id": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "type": "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e", "position": { - "x": 736, - "y": 16 + "x": 1352, + "y": -104 }, "size": { "width": 96, @@ -33212,972 +33854,1031 @@ } }, { - "id": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "type": "e19dce6995f7b7605b72ffb1fe8aef3a64f64765", + "id": "85378bfa-fb14-48e9-ae6e-435470739f60", + "type": "dcac317be3a1ce461a24d7a75d6c112790f3b0d9", "position": { - "x": 600, - "y": -96 + "x": 856, + "y": 512 }, "size": { "width": 96, - "height": 128 + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "id": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "type": "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4", + "position": { + "x": 680, + "y": 448 }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "type": "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f", + "position": { + "x": 752, + "y": -168 }, - "vertices": [] + "size": { + "width": 96, + "height": 128 + } }, { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "id": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "type": "747c6bedc887fd600bcf53135518844533f0e767", + "position": { + "x": 1648, + "y": -152 }, - "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "size": { + "width": 96, + "height": 128 } }, { - "source": { - "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "port": "out" + "id": "b6e4e507-cb65-4c57-9473-e0270450e992", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1512, + "y": 272 }, - "target": { - "block": "81f5e1f9-e867-4639-98b5-e12f7551751d", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "a66e0e19-f794-47df-b52e-c663ff7fa3e2", + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", "port": "outlabel" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] }, { "source": { - "block": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" }, "target": { - "block": "8f321a4f-979f-46f9-b40f-524e6bc0008a", + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", "port": "inlabel" } }, { "source": { - "block": "f20a4359-8546-4dda-aa5c-d08bfe4724a0", - "port": "outlabel" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", + "port": "inlabel" } }, { "source": { - "block": "21326921-3052-4ec8-8344-fc8210c08678", - "port": "out" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" }, "target": { - "block": "149c5b96-7e15-4766-aac5-33d8af3c3243", + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", "port": "inlabel" }, - "vertices": [] - }, - { - "source": { - "block": "c957f7b7-d95d-4f9a-afed-aa5f28228ca1", - "port": "outlabel" - }, - "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "438f72b7-318e-4423-9779-5e4db241705e" - }, "vertices": [ { - "x": 560, - "y": -64 + "x": 888, + "y": -216 } ] }, { "source": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" }, "target": { - "block": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", + "block": "bd61136e-6597-4728-a8c3-141a1841150b", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + } }, { "source": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" } }, { "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8" + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "port": "inlabel" } - } - ] - } - } - }, - "e19dce6995f7b7605b72ffb1fe8aef3a64f64765": { - "package": { - "name": "DFF-rst", - "version": "0.1", - "description": "D Flip-Flop with load and reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" }, - "position": { - "x": 640, - "y": 440 + "target": { + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" } }, { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 472 + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "a756b829-42d1-4779-b42d-a9acc3800854", + "port": "outlabel" }, - "position": { - "x": 240, - "y": 472 + "target": { + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" } }, { - "id": "df4acb1a-0fa0-4fdf-9b61-d77cdf3d8564", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "red" + "source": { + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "port": "outlabel" }, - "position": { - "x": 616, - "y": 504 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "source": { + "block": "10afc859-04fd-433d-823a-4fa4721320c1", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 552 + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "438f72b7-318e-4423-9779-5e4db241705e", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 96, - "y": 560 + "target": { + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "port": "inlabel" } }, { - "id": "d87a1f54-59a0-4fd5-ac2c-f03f27e66344", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "red" + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 248, - "y": 560 + "target": { + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "port": "inlabel" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "port": "outlabel" }, - "position": { - "x": 1296, - "y": 592 + "target": { + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" }, - "position": { - "x": 1152, - "y": 592 + "target": { + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "port": "inlabel" } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "40607400-436e-4dea-b733-8308a06fd4ef", + "port": "outlabel" }, - "position": { - "x": 928, - "y": 592 + "target": { + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 624 + "target": { + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false + "source": { + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 632 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "fuchsia" + "source": { + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" }, - "position": { - "x": 248, - "y": 632 - } + "target": { + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1464, + "y": 264 + } + ] }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" + "source": { + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 688 + "target": { + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" } }, { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "deepskyblue" + "source": { + "block": "07ec322c-26e6-486b-90ed-6032780b2d1c", + "port": "outlabel" }, - "position": { - "x": 248, - "y": 712 - } + "target": { + "block": "565f2a63-c8a8-45e7-9123-a507fbd077ef", + "port": "in", + "size": 5 + }, + "size": 5 }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "c0798d84-418e-4a6f-990f-d5d2c1660d21", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 712 - } + "target": { + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "size": 5 + }, + "size": 5 }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "port": "outlabel" }, - "position": { - "x": 792, - "y": 376 - } + "target": { + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "size": 5 + }, + "size": 5 }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "Sys-DFF-rst", - "readonly": true + "source": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 5 }, - "position": { - "x": 800, - "y": 688 + "target": { + "block": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", + "port": "inlabel" }, - "size": { - "width": 120, - "height": 48 - } + "size": 5 }, { - "id": "0daecba2-695f-41d5-bac2-185ba5ad6b51", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 + "source": { + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" }, - "size": { - "width": 104, - "height": 40 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" } }, { - "id": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 624, - "y": 608 + "source": { + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" } }, { - "id": "c3d3daf1-0512-4227-8fa0-164308734632", - "type": "bc12da9d6159ffe4080a47b78bac7dd4e45e1022", - "position": { - "x": 792, - "y": 576 + "source": { + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } - } - ], - "wires": [ + }, { "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" }, "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" + "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "port": "out" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" } }, { "source": { - "block": "438f72b7-318e-4423-9779-5e4db241705e", + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", "port": "out" }, "target": { - "block": "d87a1f54-59a0-4fd5-ac2c-f03f27e66344", - "port": "inlabel" - }, - "vertices": [] + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "df4acb1a-0fa0-4fdf-9b61-d77cdf3d8564", - "port": "outlabel" + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" }, - "vertices": [ - { - "x": 752, - "y": 576 - } - ] + "size": 5 }, { "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" + "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "port": "a87dc65e-e688-4659-8d4b-a8d56894145e" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "469d5d34-b849-4fcd-b5a5-c47808d7b043" + }, + "size": 5 + } + ] + } + } + }, + "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e": { + "package": { + "name": "adder-1op-5bits", + "version": "0.1", + "description": "5-bits adder. One operand is a constant (no carry)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 216, + "y": 192 } }, { - "source": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 664, + "y": 192 + } + }, + { + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 448, + "y": 96 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], + "ports": { + "in": [ + { + "name": "a", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "s", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" + "size": { + "width": 216, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" } }, { "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" + "block": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "port": "out" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "vertices": [ - { - "x": 576, - "y": 608 - } - ] + "size": 5 }, { "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7" - } - }, - { - "source": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", + "port": "in" }, - "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } + "size": 5 } ] } } }, - "bc12da9d6159ffe4080a47b78bac7dd4e45e1022": { + "dcac317be3a1ce461a24d7a75d6c112790f3b0d9": { "package": { - "name": "Sys-DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is set to it initial value", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 + "name": "2-op-comp", + "version": "0.1", + "description": "two operand 5-bits comparator", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 728, - "y": -176 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "id": "469d5d34-b849-4fcd-b5a5-c47808d7b043", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 168, - "y": -168 + "x": 176, + "y": 120 } }, { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "" }, "position": { - "x": 320, - "y": -168 + "x": 616, + "y": 160 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", "type": "basic.input", "data": { - "name": "rst", - "clock": false + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 168, - "y": -104 + "x": 176, + "y": 216 } }, { - "id": "18e5d243-dba2-486a-ae4d-ba21068d83f9", - "type": "basic.inputLabel", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "type": "basic.code", "data": { - "name": "rst", - "blockColor": "fuchsia" + "code": "assign eq = (a == b);", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[4:0]", + "size": 5 + }, + { + "name": "b", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "eq" + } + ] + } }, "position": { - "x": 312, - "y": -104 + "x": 344, + "y": 160 + }, + "size": { + "width": 224, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" + }, + "target": { + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "source": { + "block": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "b" + }, + "size": 5 + }, + { + "source": { + "block": "469d5d34-b849-4fcd-b5a5-c47808d7b043", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 5 + } + ] + } + } + }, + "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4": { + "package": { + "name": "Constante-5bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 5 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a87dc65e-e688-4659-8d4b-a8d56894145e", "type": "basic.output", "data": { - "name": "" + "name": "k", + "range": "[4:0]", + "size": 5 }, "position": { - "x": 1000, - "y": -80 + "x": 960, + "y": 248 } }, { - "id": "628e485e-758d-4277-b77e-5457d81f2973", - "type": "basic.outputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "name": "d", - "blockColor": "fuchsia" + "name": "", + "value": "1", + "local": false }, "position": { - "x": 568, - "y": -64 + "x": 728, + "y": 112 } }, { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "name": "d", - "clock": false + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[4:0]", + "size": 5 + } + ] + } }, "position": { - "x": 168, - "y": 8 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "6394cb06-ab11-4a7f-bfca-488e0f4555e8", - "type": "basic.outputLabel", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "a87dc65e-e688-4659-8d4b-a8d56894145e", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "747c6bedc887fd600bcf53135518844533f0e767": { + "package": { + "name": "05-Reg-rst", + "version": "0.8", + "description": "05-Reg-rst: 5 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "rst", - "blockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 568, - "y": 8 + "x": 560, + "y": 64 } }, { - "id": "1d16de24-0d5b-4237-980e-e1cdd159b657", - "type": "basic.inputLabel", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "name": "d", - "blockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 312, - "y": 8 + "x": 1184, + "y": 72 } }, { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "nc" }, "position": { - "x": 872, - "y": -360 + "x": 1184, + "y": 144 } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is set to its initial value", - "readonly": true + "name": "rst", + "clock": false }, "position": { - "x": 568, - "y": 88 - }, - "size": { - "width": 488, - "height": 56 + "x": 560, + "y": 168 } }, { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", "data": { - "info": "Data input", - "readonly": true + "name": "", + "range": "[4:0]", + "size": 5 }, "position": { - "x": 184, - "y": -24 - }, - "size": { - "width": 104, - "height": 40 + "x": 1184, + "y": 224 } }, { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "info": "System clock", - "readonly": true + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 176, - "y": -208 - }, - "size": { - "width": 144, - "height": 40 + "x": 560, + "y": 272 } }, { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 888, - "y": -416 + "name": "load", + "clock": false }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", "position": { - "x": 856, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 + "x": 560, + "y": 376 } }, { - "id": "acc4b3b2-c176-4e02-8840-29896179603e", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 712, - "y": -80 + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ff41d5ee-6c53-4158-8866-afd978669207", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", "position": { - "x": 528, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 + "x": 1184, + "y": 376 } }, { - "id": "9f3bd6e8-6010-42ef-b3bb-54b37c1a4d00", - "type": "basic.info", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "info": "Syste DFF", - "readonly": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 872, - "y": -8 - }, - "size": { - "width": 112, - "height": 48 + "x": 864, + "y": -80 } }, { - "id": "9b52e5b2-d262-4e6e-adde-71968812f4e9", - "type": "basic.info", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "info": "Mux-2-1", - "readonly": true + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[4:0]", + "size": 5 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[4:0]", + "size": 5 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 5;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 744, - "y": 32 + "x": 720, + "y": 40 }, "size": { - "width": 112, - "height": 48 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" }, "vertices": [] }, { "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "18e5d243-dba2-486a-ae4d-ba21068d83f9", - "port": "inlabel" - } - }, - { - "source": { - "block": "6394cb06-ab11-4a7f-bfca-488e0f4555e8", - "port": "outlabel" + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" }, - "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "size": 5 }, { "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "1d16de24-0d5b-4237-980e-e1cdd159b657", - "port": "inlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "628e485e-758d-4277-b77e-5457d81f2973", - "port": "outlabel" + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "size": 5 }, { "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { "source": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } - }, - { - "source": { - "block": "ff41d5ee-6c53-4158-8866-afd978669207", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 680, - "y": -112 - } - ] - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "ff41d5ee-6c53-4158-8866-afd978669207", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [ - { - "x": 672, - "y": -248 - } - ] } ] } diff --git a/examples/02-LCD-Shield/06-user-chars-LCD-Shield.ice b/examples/02-LCD-Shield/06-user-chars-LCD-Shield.ice index fc0e3f8..bdff53b 100644 --- a/examples/02-LCD-Shield/06-user-chars-LCD-Shield.ice +++ b/examples/02-LCD-Shield/06-user-chars-LCD-Shield.ice @@ -640,18 +640,6 @@ "height": 64 } }, - { - "id": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", - "type": "4917dffaba01339334b7faad48a85777247830fb", - "position": { - "x": -248, - "y": 976 - }, - "size": { - "width": 96, - "height": 128 - } - }, { "id": "2dd28b44-14f3-41f5-b903-c1cfc6fcd680", "type": "b157402d260787ef4d8e9c4d45d8038a90481236", @@ -757,8 +745,8 @@ } }, { - "id": "aaf49ffd-269b-4437-99b5-31882571adb2", - "type": "5681847446606150d3a2df8938776629c3ec7cba", + "id": "b35328ae-79b2-45cb-994c-274a212955b7", + "type": "89df3e4ea26ce1d9802b5c39bcae94992014a607", "position": { "x": 232, "y": 880 @@ -767,12 +755,24 @@ "width": 96, "height": 160 } + }, + { + "id": "74561412-ca45-456a-8034-8c840ce901f1", + "type": "184ac2fa057dc5b8c26ab5b3cc64918faae55707", + "position": { + "x": -248, + "y": 976 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ { "source": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "076fd796-3b96-4de8-9198-e6e8888b5ba8" }, "target": { @@ -807,13 +807,13 @@ "port": "outlabel" }, "target": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" } }, { "source": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "565f2a63-c8a8-45e7-9123-a507fbd077ef" }, "target": { @@ -840,7 +840,7 @@ "port": "outlabel" }, "target": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [ @@ -852,7 +852,7 @@ }, { "source": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "49221b19-132a-4e3f-9a1f-97bcd463391b" }, "target": { @@ -866,7 +866,7 @@ "port": "constant-out" }, "target": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" } }, @@ -884,11 +884,11 @@ }, { "source": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "73948305-b05b-418f-88af-11328f400cdc" }, "target": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "3f2aa475-ede5-41f3-b8e3-b705332e72de" } }, @@ -898,7 +898,7 @@ "port": "constant-out" }, "target": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "0d2c265d-25ed-4578-96fc-535e2bfb549e" } }, @@ -908,7 +908,7 @@ "port": "constant-out" }, "target": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "75d23aa3-cc8f-4869-a189-b6224a9b2d83" } }, @@ -918,7 +918,7 @@ "port": "outlabel" }, "target": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4" }, "size": 8 @@ -935,7 +935,7 @@ }, { "source": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "6066e5ea-fa58-4727-8b67-e9e86fb640b0" }, "target": { @@ -949,7 +949,7 @@ "port": "outlabel" }, "target": { - "block": "b537e3c1-fd8f-4a28-b5c9-cc39ee84ef6d", + "block": "74561412-ca45-456a-8034-8c840ce901f1", "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" } }, @@ -991,7 +991,7 @@ }, { "source": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "22fdad75-628e-49fb-925b-27a74e8a8e4a" }, "target": { @@ -1002,7 +1002,7 @@ }, { "source": { - "block": "aaf49ffd-269b-4437-99b5-31882571adb2", + "block": "b35328ae-79b2-45cb-994c-274a212955b7", "port": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7" }, "target": { @@ -1137,1465 +1137,1703 @@ } } }, - "4917dffaba01339334b7faad48a85777247830fb": { + "b157402d260787ef4d8e9c4d45d8038a90481236": { "package": { - "name": "count-5bits", + "name": "mi-tabla5-9", "version": "0.1", - "description": "Máquina de contar, de 5 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Circuito combinacional de 5 entradas y 9 salidas", + "author": "IceFactory 0.1", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "type": "basic.input", + "id": "3fc3854f-e0b9-492c-94d9-4e5e39ae389c", + "type": "basic.output", "data": { "name": "", - "clock": true + "virtual": true, + "range": "[8:0]", + "pins": [ + { + "index": "8", + "name": "NULL", + "value": "NULL" + }, + { + "index": "7", + "name": "NULL", + "value": "NULL" + }, + { + "index": "6", + "name": "NULL", + "value": "NULL" + }, + { + "index": "5", + "name": "NULL", + "value": "NULL" + }, + { + "index": "4", + "name": "NULL", + "value": "NULL" + }, + { + "index": "3", + "name": "NULL", + "value": "NULL" + }, + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ] }, "position": { - "x": 592, - "y": -416 + "x": 632, + "y": 432 } }, { - "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "type": "basic.inputLabel", + "id": "8a28aad8-c603-4fe5-98de-5ce348c23a3e", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "virtual": true, + "range": "[4:0]", + "pins": [ + { + "index": "4", + "name": "NULL", + "value": "NULL" + }, + { + "index": "3", + "name": "NULL", + "value": "NULL" + }, + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "clock": false }, "position": { - "x": 736, - "y": -416 + "x": -8, + "y": 432 } }, { - "id": "81fb4efb-363e-483e-b1df-c2ebda777355", - "type": "basic.outputLabel", + "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "type": "basic.memory", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "list": "0\n0\n0\n0", + "local": false }, "position": { - "x": 1416, - "y": -352 + "x": 328, + "y": 96 + }, + "size": { + "width": 96, + "height": 104 } }, { - "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "type": "basic.inputLabel", + "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "type": "basic.code", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "ports": { + "in": [ + { + "name": "i", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "q", + "range": "[8:0]", + "size": 9 + } + ] + }, + "params": [ + { + "name": "DATA" + } + ], + "code": "\n//-- Bits del bus de entrada\nlocalparam N = 5;\n\n//-- Bits del bus de salida\nlocalparam M = 9;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend" }, "position": { - "x": 952, - "y": -304 + "x": 176, + "y": 272 + }, + "size": { + "width": 392, + "height": 384 } - }, + } + ], + "wires": [ { - "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "rst" + "source": { + "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "port": "memory-out" }, - "position": { - "x": 1416, - "y": -288 + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "DATA" } }, { - "id": "40607400-436e-4dea-b733-8308a06fd4ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "q" }, - "position": { - "x": 584, - "y": -224 - } + "target": { + "block": "3fc3854f-e0b9-492c-94d9-4e5e39ae389c", + "port": "in" + }, + "size": 9 }, { - "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "source": { + "block": "8a28aad8-c603-4fe5-98de-5ce348c23a3e", + "port": "out" + }, + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "i" + }, + "size": 5 + } + ] + } + } + }, + "bae18b574f84242abb0e465664fca2670a50b5da": { + "package": { + "name": "Bus3-Split-2-1", + "version": "0.1", + "description": "Bus3-Split-2-1: Split the 3-bits bus into two: 2-bit and 1-bits buses", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "9e160bfa-47dc-4557-b2d7-c8fdc2ddd7f8", "type": "basic.output", "data": { - "name": "Busy" + "name": "0", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 2312, - "y": -216 + "x": 600, + "y": 120 } }, { - "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "type": "basic.outputLabel", - "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 2152, - "y": -216 + "x": 144, + "y": 184 } }, { - "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "type": "basic.inputLabel", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "rst" + "name": "1" }, "position": { - "x": 952, - "y": -192 + "x": 608, + "y": 208 } }, { - "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "type": "basic.input", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "start", - "clock": false + "code": "assign o1 = i[2:1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o1", + "range": "[1:0]", + "size": 2 + }, + { + "name": "o0" + } + ] + } }, "position": { - "x": 584, - "y": -136 + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 80 } + } + ], + "wires": [ + { + "source": { + "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 3 }, { - "id": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", - "type": "basic.inputLabel", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "9e160bfa-47dc-4557-b2d7-c8fdc2ddd7f8", + "port": "in" + }, + "size": 2 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + } + ] + } + } + }, + "89df3e4ea26ce1d9802b5c39bcae94992014a607": { + "package": { + "name": "LCD-controller-open-4-bits", + "version": "0.4", + "description": "LCD-controller-open-4-bits: Controlador de LCD en bucle abierto con interfaz de 4-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22582.772%22%20height=%22514.404%22%20viewBox=%220%200%20154.1918%20136.10265%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-30.881%20-39.646)%22%3E%3Cpath%20d=%22M162.957%20104.088c0%203.014-1.96%202.635-3.282%202.635H50.325c-1.322%200-2.4-1.094-2.4-4.108V42.693c0-3.022%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M56.314%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.082%200h6.064v6.219h-6.064zm6.064%200h6.081v6.219H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2054.718h6.08v6.211h-6.08zm6.08%200h6.073v6.211h-6.072zm6.082%200h6.064v6.211h-6.064zm6.064%200h6.081v6.211H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2060.929h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2067.158h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2073.386h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2079.615h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.082%200h6.064v6.22h-6.064zm6.064%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2085.835h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2092.063h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072zm6.08%200h6.065v6.22h-6.064zm6.065%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2048.49h6.072v6.219h-6.072zm6.072%200h6.081v6.219h-6.08zm6.081%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2048.49h6.073v6.219h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2054.718h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2092.063h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2092.063h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.073%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2048.49h6.073v6.219h-6.073zM147.479%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2054.718h6.073v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2060.929h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2067.158h6.08v6.228h-6.08zm-24.307%206.228h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2073.386h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2079.615h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2092.063h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2092.063h6.073v6.22h-6.073zM147.479%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2229.509%22%20y=%22140.759%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2229.509%22%20y=%22140.759%22%20font-weight=%22700%22%3EController%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.874%22%20y=%22169.801%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.874%22%20y=%22169.801%22%20font-weight=%22700%22%3EOpen%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2212.621%22%20cy=%22102.335%22%20cx=%22162.358%22%20fill=%22#fff%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22109.323%22%20x=%22155.442%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.323%22%20x=%22155.442%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1666087588919 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", + "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "busy3", + "blockColor": "navy" }, "position": { - "x": 1824, - "y": -120 + "x": 1920, + "y": -296 } }, { - "id": "c0798d84-418e-4a6f-990f-d5d2c1660d21", - "type": "basic.outputLabel", + "id": "a6b77c0f-04de-46b4-adee-acf026acab7d", + "type": "basic.inputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 1200, - "y": -104 + "x": 2216, + "y": -280 } }, { - "id": "9baa509b-0012-4e0e-b874-e62987258aa1", - "type": "basic.inputLabel", + "id": "3e5cba81-f603-48e0-b036-13f4e319197d", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "start" + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 944, - "y": -72 + "x": 1920, + "y": -232 } }, { - "id": "565f2a63-c8a8-45e7-9123-a507fbd077ef", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "n", - "range": "[4:0]", - "size": 5 + "name": "busy" }, "position": { - "x": 2320, - "y": -72 + "x": 2528, + "y": -144 } }, { - "id": "07ec322c-26e6-486b-90ed-6032780b2d1c", + "id": "bb49a449-4992-4667-8697-84304a1987af", "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 2160, - "y": -72 + "x": 2392, + "y": -144 } }, { - "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "id": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", "type": "basic.outputLabel", "data": { - "blockColor": "gold", - "name": "stop", + "blockColor": "yellow", + "name": "clk", "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": -24 + "x": 1456, + "y": -128 } }, { - "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "type": "basic.outputLabel", + "id": "67387837-1d48-4ba2-976e-f18a9e0f8376", + "type": "basic.inputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "busy3", + "blockColor": "navy" }, "position": { - "x": 1472, - "y": 16 + "x": 1792, + "y": -104 } }, { - "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "id": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "done" + "name": "rs", + "blockColor": "fuchsia" }, "position": { - "x": 920, - "y": 24 + "x": 1816, + "y": -48 } }, { - "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 2160, - "y": 104 + "x": 216, + "y": -40 } }, { - "id": "73948305-b05b-418f-88af-11328f400cdc", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "exec" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 2328, - "y": 104 + "x": 368, + "y": -40 } }, { - "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "id": "a3b58fde-785d-4a3a-8581-ba957700cfbc", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 1544, - "y": 136 + "x": 2224, + "y": -32 } }, { - "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "id": "5a999f26-6728-4451-b529-6fd101c0da93", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "rs2", + "blockColor": "fuchsia" }, "position": { - "x": 648, - "y": 152 + "x": 1440, + "y": -24 } }, { - "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "type": "basic.inputLabel", + "id": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", + "type": "basic.output", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "name": "ctrl", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 1080, - "y": 168 + "x": 2536, + "y": 0 } }, { - "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", - "type": "basic.input", + "id": "9233847f-201d-41d2-a916-7c1eea4d7239", + "type": "basic.outputLabel", "data": { - "name": "next", - "clock": false + "name": "cmd2", + "blockColor": "fuchsia" }, "position": { - "x": 616, - "y": 208 + "x": 1440, + "y": 32 } }, { - "id": "d8820925-23d9-4f02-8491-adf813601d08", - "type": "basic.outputLabel", + "id": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 1384, - "y": 232 + "x": 1856, + "y": 32 } }, { - "id": "a756b829-42d1-4779-b42d-a9acc3800854", - "type": "basic.outputLabel", + "id": "945a1ba2-9167-460e-9d8c-8a4b172524e8", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" + "name": "busy2", + "blockColor": "navy" }, "position": { - "x": 2160, - "y": 248 + "x": 1088, + "y": 32 } }, { - "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "type": "basic.output", + "id": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", + "type": "basic.outputLabel", "data": { - "name": "done" + "name": "rs", + "blockColor": "fuchsia" }, "position": { - "x": 2320, - "y": 248 + "x": 2224, + "y": 40 } }, { - "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "id": "a290409c-8fc0-4f31-974a-4886b48031e3", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 1952, - "y": 288 + "x": 1128, + "y": 80 } }, { - "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "id": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", + "blockColor": "yellow", + "name": "clk", "oldBlockColor": "fuchsia" }, "position": { - "x": 1384, - "y": 304 + "x": 680, + "y": 80 } }, { - "id": "10afc859-04fd-433d-823a-4fa4721320c1", - "type": "basic.outputLabel", + "id": "e34cde32-285a-4a10-9564-3f183f9374d1", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "start" + "name": "rw", + "blockColor": "fuchsia" }, "position": { - "x": 1680, - "y": 376 + "x": 1864, + "y": 88 } }, { - "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "type": "basic.inputLabel", + "id": "11645bae-f6bd-4389-9b75-46b74b11891e", + "type": "basic.outputLabel", "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "name": "din2", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 1144, - "y": 376 + "x": 1456, + "y": 96 } }, { - "id": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "id": "a10e58ca-ea03-432a-996f-cd686fe48f5e", "type": "basic.outputLabel", "data": { - "name": "cnt", - "range": "[4:0]", - "blockColor": "fuchsia", - "size": 5 + "name": "rw", + "blockColor": "fuchsia" }, "position": { - "x": 688, - "y": 552 + "x": 2224, + "y": 112 } }, { - "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "type": "basic.constant", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "N", - "value": "32", - "local": false + "name": "rs", + "clock": false }, "position": { - "x": 680, - "y": 344 + "x": 216, + "y": 136 } }, { - "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "type": "basic.constant", + "id": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "1", - "local": true + "name": "rs1", + "blockColor": "fuchsia" }, "position": { - "x": 1352, - "y": -208 + "x": 360, + "y": 136 } }, { - "id": "374613cb-968d-46d1-94a4-16686e7df28d", - "type": "basic.constant", + "id": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": true + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 1648, - "y": -256 + "x": 680, + "y": 136 } }, { - "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "type": "438dedd956354c574afcde6f0793a7d369b2a031", - "position": { - "x": 752, - "y": -168 + "id": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", + "type": "basic.inputLabel", + "data": { + "name": "din_ini", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d76d4948-a798-448c-adfa-c03b511371e5", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", "position": { - "x": 1544, - "y": 288 - }, - "size": { - "width": 96, - "height": 64 + "x": 1136, + "y": 136 } }, { - "id": "bd61136e-6597-4728-a8c3-141a1841150b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 808, - "y": 168 + "id": "418f1032-586b-4290-a8d5-8d01d3dbab84", + "type": "basic.inputLabel", + "data": { + "name": "dout", + "range": "[3:0]", + "blockColor": "royalblue", + "size": 4 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", "position": { - "x": 1016, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 + "x": 1848, + "y": 152 } }, { - "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 1680, - "y": 272 + "id": "d9bdb9fe-338e-4066-befe-5beb48905dc8", + "type": "basic.outputLabel", + "data": { + "name": "write2", + "blockColor": "red" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1464, + "y": 168 } }, { - "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "type": "81613874c6152f06c06ed7014bf4235900cfcc30", - "position": { - "x": 1824, - "y": 288 + "id": "22fdad75-628e-49fb-925b-27a74e8a8e4a", + "type": "basic.output", + "data": { + "name": "d", + "range": "[3:0]", + "size": 4 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2536, + "y": 192 } }, { - "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", - "type": "basic.info", + "id": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "type": "basic.outputLabel", "data": { - "info": "**Reloj del sistema**", - "readonly": true + "name": "next", + "blockColor": "darkgreen" }, "position": { "x": 680, - "y": -472 - }, - "size": { - "width": 192, - "height": 40 + "y": 192 } }, { - "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", - "type": "basic.info", + "id": "e51f952d-706e-4c3c-87ca-bba691fed3d4", + "type": "basic.outputLabel", "data": { - "info": "**Estado de** \n**la máquina**", - "readonly": true + "name": "dout", + "range": "[3:0]", + "blockColor": "royalblue", + "size": 4 }, "position": { - "x": 760, - "y": -248 - }, - "size": { - "width": 160, - "height": 40 + "x": 2384, + "y": 192 } }, { - "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", - "type": "basic.info", + "id": "6d089d72-773a-4edd-82a0-5dfacadb1184", + "type": "basic.inputLabel", "data": { - "info": "Máquina encendida", - "readonly": true + "name": "write_ini", + "blockColor": "red" }, "position": { - "x": 936, - "y": -328 - }, - "size": { - "width": 168, - "height": 40 + "x": 1112, + "y": 224 } }, { - "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", - "type": "basic.info", + "id": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", + "type": "basic.inputLabel", "data": { - "info": "Máquina apagada \n(rst = 1)", - "readonly": true + "name": "din1", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 952, - "y": -232 - }, - "size": { - "width": 152, - "height": 56 + "x": 368, + "y": 232 } }, { - "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", - "type": "basic.info", + "id": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", + "type": "basic.input", "data": { - "info": "Tic de arranque", - "readonly": true + "name": "d", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 960, - "y": -96 - }, - "size": { - "width": 160, - "height": 40 + "x": 216, + "y": 232 } }, { - "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", - "type": "basic.info", + "id": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", + "type": "basic.inputLabel", "data": { - "info": "Tic de fin", - "readonly": true + "name": "next", + "blockColor": "darkgreen" }, "position": { - "x": 944, - "y": 0 - }, - "size": { - "width": 120, - "height": 32 + "x": 1976, + "y": 272 } }, { - "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", - "type": "basic.info", + "id": "5661df88-f6d1-49d6-bf74-258557be8bcb", + "type": "basic.inputLabel", "data": { - "info": "**Contador de ciclos**", - "readonly": true + "name": "done_init", + "blockColor": "springgreen" }, "position": { - "x": 1480, - "y": -424 - }, - "size": { - "width": 224, - "height": 40 + "x": 1080, + "y": 280 } }, { - "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", - "type": "basic.info", + "id": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", + "type": "basic.output", "data": { - "info": "Número de ciclos \ncontados", - "readonly": true + "name": "init_done" }, "position": { - "x": 1824, - "y": -160 - }, - "size": { - "width": 160, - "height": 56 + "x": 2536, + "y": 296 } }, { - "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", - "type": "basic.info", + "id": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", + "type": "basic.outputLabel", "data": { - "info": "Valor \nincrementado", - "readonly": true + "name": "done_init", + "blockColor": "springgreen" }, "position": { - "x": 1496, - "y": -120 - }, - "size": { - "width": 128, - "height": 56 + "x": 2400, + "y": 296 } }, { - "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", - "type": "basic.info", + "id": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "type": "basic.inputLabel", "data": { - "info": "### Salidas", - "readonly": true + "name": "write1", + "blockColor": "red" }, "position": { - "x": 2152, - "y": -328 - }, - "size": { - "width": 280, - "height": 40 + "x": 368, + "y": 312 } }, { - "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", - "type": "basic.info", + "id": "3f2aa475-ede5-41f3-b8e3-b705332e72de", + "type": "basic.input", "data": { - "info": "Estado de la máquina", - "readonly": true + "name": "write", + "clock": false }, "position": { - "x": 2160, - "y": -248 - }, - "size": { - "width": 192, - "height": 40 + "x": 216, + "y": 312 } }, { - "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", - "type": "basic.info", + "id": "4077db41-3118-4b2b-8e7c-e02f03403951", + "type": "basic.outputLabel", "data": { - "info": "Ciclo actual", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 2168, - "y": -104 - }, - "size": { - "width": 152, - "height": 40 + "x": 1664, + "y": 344 } }, { - "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", - "type": "basic.info", + "id": "076fd796-3b96-4de8-9198-e6e8888b5ba8", + "type": "basic.output", "data": { - "info": "Cuenta finalizada", - "readonly": true + "name": "done" }, "position": { - "x": 2160, - "y": 216 - }, - "size": { - "width": 176, - "height": 48 + "x": 2536, + "y": 376 } }, { - "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", - "type": "basic.info", + "id": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", + "type": "basic.inputLabel", "data": { - "info": "Ejecutar el ciclo", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 2168, - "y": 80 - }, - "size": { - "width": 168, - "height": 40 + "x": 1952, + "y": 376 } }, { - "id": "eae9349c-e15a-4922-8652-1849ae8af424", - "type": "basic.info", + "id": "e58a680a-b7d8-44b9-9942-203656bb79dd", + "type": "basic.outputLabel", "data": { - "info": "Número de ciclos \na contar", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 696, - "y": 288 - }, - "size": { - "width": 152, - "height": 56 + "x": 2400, + "y": 376 } }, { - "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", - "type": "basic.info", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", "data": { - "info": "¿Estamos en el \nciclo k-1?", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1024, + "x": 728, "y": 480 - }, - "size": { - "width": 144, - "height": 56 } }, { - "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", - "type": "basic.info", + "id": "0ab5add2-3485-4419-95f2-c6a722533087", + "type": "basic.inputLabel", "data": { - "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", - "readonly": true + "name": "busy1", + "blockColor": "navy" }, "position": { - "x": 648, - "y": 88 - }, - "size": { - "width": 216, - "height": 80 + "x": 1032, + "y": 496 } }, { - "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", - "type": "basic.info", + "id": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", + "type": "basic.inputLabel", "data": { - "info": "Nuevo ciclo", - "readonly": true + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 920, - "y": 168 - }, - "size": { - "width": 136, - "height": 40 + "x": 1040, + "y": 592 } }, { - "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", - "type": "basic.info", + "id": "25305d01-7980-4a92-8a5d-3d370f92edf0", + "type": "basic.outputLabel", "data": { - "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", - "readonly": true + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 1128, - "y": 304 - }, - "size": { - "width": 208, - "height": 72 + "x": 1360, + "y": 592 } }, { - "id": "9baabc30-8c27-4b55-92e4-d59783269162", - "type": "basic.info", + "id": "aacafad5-ebae-4fbb-b987-46e527ef6736", + "type": "basic.inputLabel", "data": { - "info": "Apagar la \nmáquina", - "readonly": true + "name": "rs2", + "blockColor": "fuchsia" }, "position": { - "x": 600, - "y": -64 - }, - "size": { - "width": 120, - "height": 56 + "x": 2192, + "y": 608 } }, { - "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", - "type": "basic.info", + "id": "112ed8d8-1940-442e-9692-122482e8601a", + "type": "basic.inputLabel", "data": { - "info": "Ciclo nuevo: \nincrementar la cuenta", - "readonly": true + "name": "cmd2", + "blockColor": "fuchsia" }, "position": { - "x": 1464, - "y": -32 - }, - "size": { - "width": 208, - "height": 56 + "x": 1680, + "y": 624 } }, { - "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", - "type": "basic.info", + "id": "6e03417e-d26a-47ca-bbf7-abed12cf829f", + "type": "basic.outputLabel", "data": { - "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", - "readonly": true + "name": "rs1", + "blockColor": "fuchsia" }, "position": { - "x": 1680, - "y": 440 - }, - "size": { - "width": 248, - "height": 72 + "x": 1872, + "y": 648 } }, { - "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", - "type": "basic.info", + "id": "5ba7b723-cc87-4e69-8494-be27491be24b", + "type": "basic.outputLabel", "data": { - "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1688, - "y": 168 - }, - "size": { - "width": 208, - "height": 80 + "x": 552, + "y": 672 } }, { - "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", - "type": "basic.info", + "id": "12a003b2-96ca-479e-a925-273a66c415c9", + "type": "basic.outputLabel", "data": { - "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", - "readonly": true + "name": "busy_ini", + "blockColor": "navy" }, "position": { - "x": 1432, - "y": 360 - }, - "size": { - "width": 256, - "height": 72 + "x": 1872, + "y": 760 } }, { - "id": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "type": "92f3f1841aa67b4ab090bd8d975cef82ec86f688", - "position": { - "x": 1648, - "y": -152 + "id": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", + "type": "basic.outputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 1360, + "y": 768 } }, { - "id": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "type": "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e", - "position": { - "x": 1352, - "y": -104 + "id": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", + "type": "basic.outputLabel", + "data": { + "name": "busy2", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 648, + "y": 832 } }, { - "id": "85378bfa-fb14-48e9-ae6e-435470739f60", - "type": "dcac317be3a1ce461a24d7a75d6c112790f3b0d9", - "position": { - "x": 856, - "y": 512 + "id": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", + "type": "basic.inputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 936, + "y": 848 } }, { - "id": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "type": "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4", - "position": { - "x": 680, - "y": 448 + "id": "f3d19b64-b930-407e-8c30-f288d5554f77", + "type": "basic.outputLabel", + "data": { + "name": "din_ini", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1376, + "y": 888 } - } - ], - "wires": [ - { - "source": { - "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "port": "outlabel" - }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "3b77778a-732e-4bb7-9169-b93066877379" - }, - "vertices": [ - { - "x": 1592, - "y": -192 - } - ] }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + "id": "dca00596-5e72-496b-95a7-5b544abc6781", + "type": "basic.outputLabel", + "data": { + "name": "busy1", + "blockColor": "navy" }, - "target": { - "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "port": "inlabel" + "position": { + "x": 650, + "y": 896 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + "id": "d72de4a7-d7bd-4953-9ab1-501a0d876133", + "type": "basic.outputLabel", + "data": { + "name": "write_ini", + "blockColor": "red" }, - "target": { - "block": "9baa509b-0012-4e0e-b874-e62987258aa1", - "port": "inlabel" + "position": { + "x": 1896, + "y": 912 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "0d991cee-b329-439b-b9e7-5712d2db539d" - }, - "target": { - "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "port": "inlabel" + "id": "5e154911-3b02-4c25-b8b4-67a2cec51df8", + "type": "basic.inputLabel", + "data": { + "name": "din2", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, - "vertices": [ - { - "x": 888, - "y": -216 - } - ] + "position": { + "x": 1704, + "y": 920 + } }, { - "source": { - "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", - "port": "outlabel" + "id": "28815f36-60f4-4bcb-9b79-adf4436596f4", + "type": "basic.inputLabel", + "data": { + "name": "write2", + "blockColor": "red" }, - "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 2192, + "y": 944 } }, { - "source": { - "block": "45bd338b-4745-4b06-b199-462cdaffa31d", - "port": "outlabel" + "id": "2d234231-a3ce-4933-a5fe-407c45ca0d49", + "type": "basic.outputLabel", + "data": { + "name": "din1", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "ba15eacb-1e03-4580-932b-3231703481e5" + "position": { + "x": 1376, + "y": 952 } }, { - "source": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "8dfb44d1-7475-43bc-9627-5355d505e3a3", + "type": "basic.outputLabel", + "data": { + "name": "write1", + "blockColor": "red" }, - "target": { - "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "port": "inlabel" + "position": { + "x": 1896, + "y": 968 } }, { - "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + "id": "4cb21564-35b2-42d6-9c4c-8976682fd720", + "type": "basic.outputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "target": { - "block": "5a3f8466-6a57-4607-939a-fb15222442a7", - "port": "inlabel" + "position": { + "x": 1896, + "y": 1032 } }, { - "source": { - "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "port": "outlabel" + "id": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", + "type": "basic.outputLabel", + "data": { + "name": "busy_ini", + "blockColor": "navy" }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1368, + "y": 1056 } }, { - "source": { - "block": "a756b829-42d1-4779-b42d-a9acc3800854", - "port": "outlabel" + "id": "0d2c265d-25ed-4578-96fc-535e2bfb549e", + "type": "basic.constant", + "data": { + "name": "C", + "value": "1", + "local": false }, - "target": { - "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", - "port": "in" + "position": { + "x": 608, + "y": -40 } }, { - "source": { - "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "port": "outlabel" + "id": "f027a3dd-1b00-421b-8ccc-43dc54000b64", + "type": "basic.constant", + "data": { + "name": "Espera_ms", + "value": "15", + "local": true }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "position": { + "x": 616, + "y": 448 } }, { - "source": { - "block": "10afc859-04fd-433d-823a-4fa4721320c1", - "port": "outlabel" + "id": "a21db338-df4f-48a4-b152-c82fec1f9177", + "type": "basic.memory", + "data": { + "name": "Inicializar_display_4_bits", + "list": "30 //-- Init 0\n30 //-- Init 1\n30 //-- Init 2\n20 //-- Funct Set. 4 bits\n28 //-- Funct Set. 2 lines\n0F //-- Disp ctrl: Disp on. Curso on. Blink ON\n01 //-- CLS\n", + "local": true, + "format": 10 }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 728, + "y": -328 + }, + "size": { + "width": 424, + "height": 160 } }, { - "source": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", + "type": "basic.constant", + "data": { + "name": "B", + "value": "1", + "local": false }, - "target": { - "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", - "port": "inlabel" + "position": { + "x": 728, + "y": -40 } }, { - "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "fedc3ff5-0acd-464d-971d-c7cbf286889d", + "type": "basic.constant", + "data": { + "name": "", + "value": "7", + "local": true }, - "target": { - "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "port": "inlabel" + "position": { + "x": 824, + "y": -152 } }, { - "source": { - "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", - "port": "outlabel" + "id": "86b6c395-bfa3-4587-8882-6cab271726e3", + "type": "basic.constant", + "data": { + "name": "", + "value": "5", + "local": true }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "position": { + "x": 960, + "y": -120 } }, { - "source": { - "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "port": "out" + "id": "169cf0af-be61-4260-8041-84c135512759", + "type": "basic.constant", + "data": { + "name": "Init", + "value": "4", + "local": true }, - "target": { - "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "port": "inlabel" + "position": { + "x": 1128, + "y": -72 } }, { - "source": { - "block": "40607400-436e-4dea-b733-8308a06fd4ef", - "port": "outlabel" + "id": "a84954f7-810e-4b33-b933-994656925230", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12_000_000", + "local": false }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "046501b8-4427-4d4d-af97-7fe807774f33" + "position": { + "x": 1608, + "y": -192 } }, { - "source": { - "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "port": "outlabel" + "id": "a98159b0-93b4-4af8-b3aa-2c421f6529d2", + "type": "basic.info", + "data": { + "info": "Arrancar la inicialización!", + "readonly": true }, - "target": { - "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", - "port": "in" + "position": { + "x": 1024, + "y": 664 + }, + "size": { + "width": 272, + "height": 40 } }, { - "source": { - "block": "81fb4efb-363e-483e-b1df-c2ebda777355", - "port": "outlabel" + "id": "b4934eee-e747-4dd6-986a-3a650d2732b7", + "type": "basic.info", + "data": { + "info": "**COMIENZO!**", + "readonly": true }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 680, + "y": 640 + }, + "size": { + "width": 144, + "height": 40 } }, { - "source": { - "block": "d8820925-23d9-4f02-8491-adf813601d08", - "port": "outlabel" + "id": "2ca2bc5c-5510-4008-afdd-68b3a444a309", + "type": "basic.info", + "data": { + "info": "## Retraso inicial\n\nSe deben esperar 15ms para que el LCD arranque", + "readonly": true }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "position": { + "x": 688, + "y": 328 }, - "vertices": [ - { - "x": 1496, - "y": 280 - } - ] + "size": { + "width": 368, + "height": 72 + } }, { - "source": { - "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "port": "outlabel" + "id": "17c10570-79e4-4c17-a872-2cc590f8ab6a", + "type": "basic.info", + "data": { + "info": "Comandos necesarios para inicializar \ny configurar el LCD", + "readonly": true }, - "target": { - "block": "73948305-b05b-418f-88af-11328f400cdc", - "port": "in" + "position": { + "x": 1168, + "y": -272 + }, + "size": { + "width": 304, + "height": 56 } }, { - "source": { - "block": "07ec322c-26e6-486b-90ed-6032780b2d1c", - "port": "outlabel" - }, - "target": { - "block": "565f2a63-c8a8-45e7-9123-a507fbd077ef", - "port": "in", - "size": 5 + "id": "3c503337-4d71-48b8-ace3-e001f62cc18b", + "type": "basic.info", + "data": { + "info": "Escribir comando actual", + "readonly": true }, - "size": 5 + "position": { + "x": 1232, + "y": 256 + }, + "size": { + "width": 200, + "height": 32 + } }, { - "source": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "23a50dc6-0372-4232-96d4-4f9bb84402e0", - "size": 5 + "id": "d4a7e9b5-b05b-467e-a306-e9478a92a1ec", + "type": "basic.info", + "data": { + "info": "Fin de Inicialización", + "readonly": true }, - "target": { - "block": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", - "port": "inlabel" + "position": { + "x": 1192, + "y": 304 }, - "size": 5 + "size": { + "width": 184, + "height": 40 + } }, { - "source": { - "block": "c0798d84-418e-4a6f-990f-d5d2c1660d21", - "port": "outlabel" + "id": "db22636c-9afd-4420-9c4b-0e2f19ac98ce", + "type": "basic.info", + "data": { + "info": "Número de comandos \nde inicialización", + "readonly": true }, - "target": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", - "size": 5 + "position": { + "x": 1232, + "y": -72 }, - "size": 5 + "size": { + "width": 176, + "height": 48 + } }, { - "source": { - "block": "5aad8c94-4889-4ccd-b051-82e585f38aa9", - "port": "outlabel" + "id": "2aa67b45-7164-4ca7-a879-8e4cb8c762d5", + "type": "basic.info", + "data": { + "info": "Comandos totales", + "readonly": true }, - "target": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", - "size": 5 + "position": { + "x": 688, + "y": -152 }, - "size": 5 + "size": { + "width": 184, + "height": 40 + } }, { - "source": { - "block": "374613cb-968d-46d1-94a4-16686e7df28d", - "port": "constant-out" + "id": "ad65b9e6-ab2d-4d2d-b09c-25599544eec9", + "type": "basic.info", + "data": { + "info": "Cursor ON/OFF", + "readonly": true }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" + "position": { + "x": 608, + "y": -80 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "port": "constant-out" + "id": "057e06e7-34c6-4e18-ad8e-3ebe4a1d4032", + "type": "basic.info", + "data": { + "info": "Blink ON/OFF", + "readonly": true }, - "target": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + "position": { + "x": 736, + "y": -80 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + "id": "fcbd1dd3-091a-47cf-94e1-5d7ab073efc8", + "type": "basic.info", + "data": { + "info": "Dirección donde está \nel cmd disp_ctrl", + "readonly": true }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1072, + "y": -144 + }, + "size": { + "width": 184, + "height": 40 } }, { - "source": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "df03c562-d346-45a8-a19e-bf9ab0824e03", + "type": "basic.info", + "data": { + "info": "Comando a escribir en \nel LCD", + "readonly": true }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1248, + "y": 168 + }, + "size": { + "width": 192, + "height": 48 } }, { - "source": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "id": "a20bc4ef-ebc3-4411-9229-d6c89dfe0429", + "type": "basic.info", + "data": { + "info": "Tipo de comando", + "readonly": true }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1248, + "y": 96 + }, + "size": { + "width": 192, + "height": 48 } }, { - "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "d53e38f4-7dd2-41ea-8132-ebc3e0ed1ba9", + "type": "basic.info", + "data": { + "info": "LCD-write-open-4-bits", + "readonly": true }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1632, + "y": 216 + }, + "size": { + "width": 184, + "height": 40 } }, { - "source": { - "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "port": "constant-out" + "id": "d136b7e1-723d-4809-a13d-329086f6b8fe", + "type": "basic.info", + "data": { + "info": "Escribir un comando en el LCD", + "readonly": true }, - "target": { - "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "position": { + "x": 1520, + "y": -232 + }, + "size": { + "width": 256, + "height": 40 } }, { - "source": { - "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "port": "out" + "id": "ba0115f9-03aa-4382-9ca1-4cae455b1855", + "type": "basic.info", + "data": { + "info": "Siguiente \ncomando", + "readonly": true }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + "position": { + "x": 2096, + "y": 272 + }, + "size": { + "width": 104, + "height": 56 } }, { - "source": { - "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", - "port": "out" + "id": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1816, + "y": 288 }, - "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", - "port": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90" + "id": "7fb1679b-6b56-4c10-8618-dab9aa40593d", + "type": "basic.info", + "data": { + "info": "Modo inicialización", + "readonly": true }, - "target": { - "block": "67ecfb93-8701-4071-a101-a4fecebb2cb0", - "port": "192e9c77-ecdc-43fb-8383-b7d684012364" + "position": { + "x": 1928, + "y": 248 }, - "size": 5 + "size": { + "width": 184, + "height": 32 + } }, { - "source": { - "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", - "port": "a87dc65e-e688-4659-8d4b-a8d56894145e" + "id": "811959ff-8df1-457f-a1b8-cc4f6820377c", + "type": "basic.info", + "data": { + "info": "Modo normal", + "readonly": true }, - "target": { - "block": "85378bfa-fb14-48e9-ae6e-435470739f60", - "port": "469d5d34-b849-4fcd-b5a5-c47808d7b043" + "position": { + "x": 1960, + "y": 352 }, - "size": 5 - } - ] - } - } - }, - "438dedd956354c574afcde6f0793a7d369b2a031": { - "package": { - "name": "Machine-state", - "version": "0.1", - "description": "Biestable de almacenamiento del estado de la máquina", - "author": "Juan Gonzalez-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22404.343%22%20width=%22319.18%22%20id=%22svg4%22%3E%3Cdefs%20id=%22defs8%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path898%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-53%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-91%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-2%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path974%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20d=%22M124.728%2079.637c-24.895%200-45.091%2020.196-45.091%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.049-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.979-15.575-19.578%208.04-9.938%2030.421-1.27%204.038h-28.41L98.85%20215.155%2079.27%20207.08l-28.514%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.37-9.92L0%20139.555v-28.393L34.362%2098.85l8.067-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L109.92%200h28.401l12.304%2034.38%2019.527%208.084%2028.523-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20id=%22path2%22%20fill=%22#00f%22%20stroke-width=%22.278%22/%3E%3Cg%20id=%22g992-5%22%20transform=%22matrix(3.23542%200%200%203.23542%20-54.399%20117.43)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22g1022%22%20transform=%22matrix(.5585%200%200%20.5585%2076.484%2043.495)%22%3E%3Cg%20transform=%22translate(3.47%203.198)%22%20id=%22layer1%22%3E%3Cpath%20id=%22path9-3%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path11%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20id=%22line17%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20id=%22path21-3%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path826%22%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20ry=%2219.847%22%20y=%223.671%22%20x=%2295.783%22%20height=%22135.189%22%20width=%22135.189%22%20id=%22rect845%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20id=%22path7-6%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20class=%22st2%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/g%3E%3Cg%20id=%22g992-5-7%22%20transform=%22matrix(3.23542%200%200%203.23542%20-57.653%2011.124)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62-5%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9-3%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 112, + "height": 32 + } + }, { - "id": "0d991cee-b329-439b-b9e7-5712d2db539d", - "type": "basic.output", - "data": { - "name": "state" - }, + "id": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 960, - "y": 8 + "x": 800, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", - "type": "basic.output", + "id": "9422b04f-ddaf-4c84-89bd-f86426c4102e", + "type": "basic.info", "data": { - "name": "rst" + "info": "Señal que indica si el controladore está \nen la fase de inicialización o no", + "readonly": true }, "position": { - "x": 960, - "y": 120 + "x": 864, + "y": 792 + }, + "size": { + "width": 344, + "height": 56 } }, { - "id": "046501b8-4427-4d4d-af97-7fe807774f33", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "id": "052bdf09-bf96-4e1b-be26-437437dfa533", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", + "position": { + "x": 1544, + "y": 608 }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b80beb59-7db6-46b7-bbf6-02256373d959", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", "position": { - "x": 408, - "y": 184 + "x": 1360, + "y": 664 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "aefe9248-3b3d-441b-9e65-33cda65b99dd", - "type": "basic.output", + "id": "c2cf16c6-d7f4-4d91-a737-bcb6ef268c4f", + "type": "basic.info", "data": { - "name": "on" + "info": "## Seleccionar las entradas según el modo: Inicialización o normal\n\n", + "readonly": true }, "position": { - "x": 960, - "y": 256 + "x": 1352, + "y": 472 + }, + "size": { + "width": 696, + "height": 48 } }, { - "id": "88176871-35ac-42bf-bf62-36c81a4b4b47", - "type": "basic.input", + "id": "42ddc817-5c60-4a4d-9fb2-2065517213bd", + "type": "basic.info", "data": { - "name": "start", - "clock": false + "info": "Modo normal", + "readonly": true }, "position": { - "x": 424, - "y": 288 + "x": 1368, + "y": 744 + }, + "size": { + "width": 112, + "height": 32 } }, { - "id": "ba15eacb-1e03-4580-932b-3231703481e5", - "type": "basic.input", + "id": "9a8dd966-bc11-4957-b881-9fc4d9c2c7f0", + "type": "basic.info", "data": { - "name": "stop", - "clock": false + "info": "Modo inicialización", + "readonly": true }, "position": { - "x": 424, - "y": 352 + "x": 1352, + "y": 568 + }, + "size": { + "width": 184, + "height": 32 } }, { - "id": "3802390d-8417-4369-b93c-dda647ccb0c6", - "type": "basic.output", + "id": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", + "position": { + "x": 2056, + "y": 592 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e156b7c4-d5a8-4d04-9262-43b6a87dd030", + "type": "basic.info", "data": { - "name": "off" + "info": "Modo normal", + "readonly": true }, "position": { - "x": 960, - "y": 360 + "x": 1880, + "y": 712 + }, + "size": { + "width": 112, + "height": 32 } }, { - "id": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", + "id": "87bf3e8e-d1d8-4e5e-900e-8d6ce8cc1bca", + "type": "basic.info", + "data": { + "info": "Modo inicialización", + "readonly": true + }, "position": { - "x": 600, - "y": 272 + "x": 1864, + "y": 552 }, "size": { - "width": 96, - "height": 96 + "width": 184, + "height": 32 } }, { - "id": "03835a1e-c674-4fa3-927b-0511beff772c", - "type": "c386a7076c0569a15326b30b6748ca284426424d", + "id": "5a037e31-7da9-4835-aa9b-2f39fa0709be", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 776, - "y": 272 + "x": 1872, + "y": 576 }, "size": { "width": 96, @@ -2603,1865 +2841,1343 @@ } }, { - "id": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", + "id": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "type": "d1a52ec25aee5e4823102a32325600666fe99e12", "position": { - "x": 736, - "y": 120 + "x": 1544, + "y": 904 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "42f19387-aa1f-4514-88aa-adca9bf9f03b", + "id": "5bd80e70-677e-4d21-9d22-e856719a4a60", "type": "basic.info", "data": { - "info": "**Tic de apagado**", + "info": "Modo inicialización", "readonly": true }, "position": { - "x": 960, - "y": 344 + "x": 1376, + "y": 856 }, "size": { - "width": 168, - "height": 40 + "width": 184, + "height": 32 } }, { - "id": "eaab4880-4c6d-422f-a8ab-c06e579aa7c6", + "id": "d7a92edf-cd96-48a5-ab53-9a24f26ceff3", "type": "basic.info", "data": { - "info": "**Tic de encendido**", + "info": "Modo normal", "readonly": true }, "position": { - "x": 952, - "y": 240 + "x": 1376, + "y": 1016 }, "size": { - "width": 168, - "height": 40 + "width": 112, + "height": 32 } }, { - "id": "4e85996b-c40e-4f84-bfbc-ff87d4bc425d", - "type": "basic.info", - "data": { - "info": "**Inicializar**", - "readonly": true - }, + "id": "ba182779-c056-478b-8e36-1da81329baba", + "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", "position": { - "x": 968, - "y": 96 + "x": 2056, + "y": 928 }, "size": { - "width": 168, - "height": 40 + "width": 96, + "height": 96 } }, { - "id": "130f81c9-ce87-47a8-b393-762b31a724fc", + "id": "d014a960-bc04-4ba6-bdd6-a982df503a2a", "type": "basic.info", "data": { - "info": "**Estado de la máquina**", + "info": "Modo inicialización", "readonly": true }, "position": { - "x": 936, - "y": -16 + "x": 1904, + "y": 880 }, "size": { - "width": 168, - "height": 40 + "width": 184, + "height": 32 } - } - ], - "wires": [ + }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + "id": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 2080, + "y": -280 }, - "target": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" - }, - "target": { - "block": "0d991cee-b329-439b-b9e7-5712d2db539d", - "port": "in" + "id": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "position": { + "x": 2384, + "y": -16 }, - "vertices": [ - { - "x": 720, - "y": 144 - } - ] + "size": { + "width": 96, + "height": 96 + } }, { - "source": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + "id": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 680, + "y": 672 }, - "target": { - "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "type": "bc3dff0a8356bc427c7a1e4c5ad3a3846e4c8299", + "position": { + "x": 832, + "y": 88 }, - "target": { - "block": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", - "port": "in" + "size": { + "width": 192, + "height": 160 } }, { - "source": { - "block": "88176871-35ac-42bf-bf62-36c81a4b4b47", - "port": "out" + "id": "1df5b052-3b0e-44c3-a270-226a635c0402", + "type": "5528a6b349a945fa8bb99c41ae93efd033c1c18d", + "position": { + "x": 1632, + "y": -16 }, - "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + "size": { + "width": 96, + "height": 192 } }, { - "source": { - "block": "ba15eacb-1e03-4580-932b-3231703481e5", - "port": "out" + "id": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 880, + "y": 544 }, - "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" + "size": { + "width": 96, + "height": 96 } }, { - "source": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + "id": "760da67c-6906-462a-81d5-a39938f8cac7", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 592, + "y": 560 }, - "target": { - "block": "aefe9248-3b3d-441b-9e65-33cda65b99dd", - "port": "in" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "3ada5999-55ba-4c4e-9877-a3e9ed82308c" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "3802390d-8417-4369-b93c-dda647ccb0c6", - "port": "in" - } + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", - "port": "9f09a4af-8f7a-45c3-af7b-293a244e76d9" + "block": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", + "port": "inlabel" } }, { "source": { - "block": "046501b8-4427-4d4d-af97-7fe807774f33", - "port": "out" + "block": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", + "port": "out", + "size": 8 }, "target": { - "block": "03835a1e-c674-4fa3-927b-0511beff772c", - "port": "2708468d-1088-4570-be63-fb0d4799a941" - } - } - ] - } - } - }, - "b959c256104d1064a5ef7b38632ffb6eed3b396f": { - "package": { - "name": "Biestable-Set-Reset", - "version": "0.1", - "description": "Biestable con entradas de Set y Reset síncronas, para poner y quitar notaficaciones de eventos", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20234.62951%20290.44458%22%20id=%22svg30%22%20width=%22234.63%22%20height=%22290.445%22%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(3.47%203.198)%22%3E%3Cpath%20class=%22st1%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20id=%22path9%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "block": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", + "port": "inlabel" }, - "position": { - "x": 200, - "y": 64 - } + "size": 8 }, { - "id": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "type": "basic.input", - "data": { - "name": "set", - "clock": false + "source": { + "block": "3f2aa475-ede5-41f3-b8e3-b705332e72de", + "port": "out" }, - "position": { - "x": 200, - "y": 152 + "target": { + "block": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "port": "inlabel" } }, { - "id": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 720, - "y": 152 - } + "target": { + "block": "0ab5add2-3485-4419-95f2-c6a722533087", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, - "position": { - "x": 200, - "y": 232 + "target": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { - "id": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "5ba7b723-cc87-4e69-8494-be27491be24b", + "port": "outlabel" }, - "position": { - "x": 464, - "y": 0 + "target": { + "block": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" } }, { - "id": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (set)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "set" - }, - { - "name": "rst" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "750c0892-e316-4030-ab97-be28a90e1b76", + "size": 8 }, - "position": { - "x": 400, - "y": 120 + "target": { + "block": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", + "port": "inlabel" }, - "size": { - "width": 224, - "height": 128 - } - } - ], - "wires": [ + "vertices": [], + "size": 8 + }, { "source": { - "block": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "port": "out" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "clk" + "block": "6d089d72-773a-4edd-82a0-5dfacadb1184", + "port": "inlabel" }, - "vertices": [ - { - "x": 336, - "y": 104 - } - ] + "vertices": [] }, { "source": { - "block": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "port": "out" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "set" - } + "block": "5661df88-f6d1-49d6-bf74-258557be8bcb", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "port": "out" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "rst" + "block": "945a1ba2-9167-460e-9d8c-8a4b172524e8", + "port": "inlabel" }, - "vertices": [ - { - "x": 344, - "y": 248 - } - ] + "vertices": [] }, { "source": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "q" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959" }, "target": { - "block": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "port": "in" - } + "block": "a290409c-8fc0-4f31-974a-4886b48031e3", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "port": "constant-out" + "block": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "port": "outlabel" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "INI" - } - } - ] - } - } - }, - "c386a7076c0569a15326b30b6748ca284426424d": { - "package": { - "name": "Detector-flancos", - "version": "0.1", - "description": "Detector de flancos de subida y bajada. Emite tic por las salidas correspondientes al detecta los flancos", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22375.877%22%20height=%22399.413%22%20viewBox=%220%200%2099.450701%20105.67809%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22b%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-61.727%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.826%2011.41)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M74.965%20140.485l6.027%207.974-5.055%206.03%201.75%201.557m-3.96-15.367l-3.5%207.975-7.97%201.556.582%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M74.695%20110.063v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M63.906%20130.255l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.208%20120.573c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.654%20146.85v-43.764H121.43v43.496%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22111.543%22%20cy=%22149.329%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-142.409%22%20cy=%22143.124%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M125.128%20110.887v3.874M128.718%20110.887v3.874%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M86.122%20125.567h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#b)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2063.956%2061.544)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2708468d-1088-4570-be63-fb0d4799a941", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "3df4344a-4d89-479a-a80e-6ba195a6ff79" }, - "position": { - "x": 152, - "y": 160 - } + "vertices": [] }, { - "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "type": "basic.output", - "data": { - "name": "up" + "source": { + "block": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", + "port": "outlabel" }, - "position": { - "x": 672, - "y": 160 - } - }, - { - "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "type": "basic.input", - "data": { - "name": "", - "clock": false + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "position": { - "x": 152, - "y": 248 - } + "vertices": [] }, { - "id": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", - "type": "basic.output", - "data": { - "name": "down" + "source": { + "block": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", + "port": "outlabel" }, - "position": { - "x": 672, - "y": 248 + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", - "type": "basic.info", - "data": { - "info": "## Detector doble: flancos de subida y bajada\n\nSe detectan tanto los flancos de subida como de bajada y se emite los \ntics por sus salidas correspondientes", - "readonly": true + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "bdbaf9de-f627-41d1-a915-6b9c420deb8d" }, - "position": { - "x": 144, - "y": -24 + "target": { + "block": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "port": "inlabel" }, - "size": { - "width": 568, - "height": 80 - } + "vertices": [] }, { - "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", - "type": "basic.info", - "data": { - "info": "Señal de \nentrada", - "readonly": true + "source": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7" }, - "position": { - "x": 168, - "y": 216 + "target": { + "block": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", + "port": "inlabel" }, - "size": { - "width": 96, - "height": 56 - } + "vertices": [] }, { - "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", - "type": "basic.info", - "data": { - "info": "Reloj del \nsistema", - "readonly": true + "source": { + "block": "d9bdb9fe-338e-4066-befe-5beb48905dc8", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 120 + "target": { + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852" }, - "size": { - "width": 96, - "height": 48 - } + "vertices": [] }, - { - "id": "73c2239c-1050-4d9f-ae49-0299d50982af", - "type": "basic.code", - "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign up = (~q & i); \nassign down = (q & ~i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "up" - }, - { - "name": "down" - } - ] - } - }, - "position": { - "x": 336, - "y": 152 - }, - "size": { - "width": 264, - "height": 168 - } - } - ], - "wires": [ { "source": { - "block": "2708468d-1088-4570-be63-fb0d4799a941", - "port": "out" + "block": "9233847f-201d-41d2-a916-7c1eea4d7239", + "port": "outlabel" }, "target": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "clk" - } + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "03e28265-5f95-42d6-8c5f-78975349b9c4" + }, + "vertices": [] }, { "source": { - "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", - "port": "out" + "block": "5a999f26-6728-4451-b529-6fd101c0da93", + "port": "outlabel" }, "target": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "i" - } + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { "source": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "up" + "block": "11645bae-f6bd-4389-9b75-46b74b11891e", + "port": "outlabel" }, "target": { - "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", - "port": "in" - } + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "00289418-0491-4aa5-9cfd-6213c65a42db", + "size": 8 + }, + "vertices": [], + "size": 8 }, { "source": { - "block": "73c2239c-1050-4d9f-ae49-0299d50982af", - "port": "down" + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", - "port": "in" - } - } - ] - } - } - }, - "35f267d0df6ffcb7fc33753bc9df9cf083642cca": { - "package": { - "name": "NOT", - "version": "1.0.3", - "description": "Puerta NOT", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" + "block": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", + "port": "inlabel" }, - "position": { - "x": 64, - "y": 144 - } + "vertices": [] }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, - "position": { - "x": 752, - "y": 144 - } + "target": { + "block": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", - "data": { - "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "source": { + "block": "4077db41-3118-4b2b-8e7c-e02f03403951", + "port": "outlabel" }, - "position": { - "x": 256, - "y": 48 + "target": { + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, - "size": { - "width": 400, - "height": 256 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", + "port": "outlabel" }, "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "c" + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", + "port": "inlabel" + }, + "vertices": [] + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", + "port": "outlabel" }, - "position": { - "x": 192, - "y": 136 - } + "target": { + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "dca00596-5e72-496b-95a7-5b544abc6781", + "port": "outlabel" }, - "position": { - "x": 680, - "y": 184 - } + "target": { + "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", - "data": { - "name": "", - "clock": false + "source": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 192, - "y": 232 - } + "target": { + "block": "112ed8d8-1940-442e-9692-122482e8601a", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "25305d01-7980-4a92-8a5d-3d370f92edf0", + "port": "outlabel" }, - "position": { - "x": 456, - "y": 64 - } + "target": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", + "port": "outlabel" }, - "position": { - "x": 384, - "y": 168 + "target": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, - "size": { - "width": 232, - "height": 88 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } + "block": "aacafad5-ebae-4fbb-b987-46e527ef6736", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "12a003b2-96ca-479e-a925-273a66c415c9", + "port": "outlabel" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "6e03417e-d26a-47ca-bbf7-abed12cf829f", + "port": "outlabel" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [ + { + "x": 2000, + "y": 648 + } + ] }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "size": 8 }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { - "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "5e154911-3b02-4c25-b8b4-67a2cec51df8", + "port": "inlabel" + }, + "vertices": [], + "size": 8 + }, { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", + "port": "outlabel" }, - "position": { - "x": 64, - "y": 88 - } + "target": { + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "f3d19b64-b930-407e-8c30-f288d5554f77", + "port": "outlabel" }, - "position": { - "x": 784, - "y": 152 - } + "target": { + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "vertices": [], + "size": 8 }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" + "source": { + "block": "2d234231-a3ce-4933-a5fe-407c45ca0d49", + "port": "outlabel" }, - "position": { - "x": 64, - "y": 224 - } + "target": { + "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "vertices": [ + { + "x": 1496, + "y": 960 + } + ], + "size": 8 }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "source": { + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 256, - "y": 48 + "target": { + "block": "28815f36-60f4-4bcb-9b79-adf4436596f4", + "port": "inlabel" }, - "size": { - "width": 464, - "height": 272 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "4cb21564-35b2-42d6-9c4c-8976682fd720", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "d72de4a7-d7bd-4953-9ab1-501a0d876133", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "8dfb44d1-7475-43bc-9627-5355d505e3a3", + "port": "outlabel" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "81613874c6152f06c06ed7014bf4235900cfcc30": { - "package": { - "name": "OR", - "version": "1.0.1", - "description": "Puerta OR", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", - "data": { - "name": "" + "block": "ba182779-c056-478b-8e36-1da81329baba", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, - "position": { - "x": 64, - "y": 88 - } + "vertices": [] }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "e51f952d-706e-4c3c-87ca-bba691fed3d4", + "port": "outlabel" }, - "position": { - "x": 784, - "y": 152 - } - }, - { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", - "data": { - "name": "" + "target": { + "block": "22fdad75-628e-49fb-925b-27a74e8a8e4a", + "port": "in", + "size": 4 }, - "position": { - "x": 64, - "y": 224 - } + "size": 4 }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta OR\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a | b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } - }, - "position": { - "x": 256, - "y": 48 + "source": { + "block": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", + "port": "outlabel" }, - "size": { - "width": 464, - "height": 272 + "target": { + "block": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", + "port": "in" } - } - ], - "wires": [ + }, { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "e58a680a-b7d8-44b9-9942-203656bb79dd", + "port": "outlabel" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "076fd796-3b96-4de8-9198-e6e8888b5ba8", + "port": "in" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "block": "67387837-1d48-4ba2-976e-f18a9e0f8376", + "port": "inlabel" } }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", + "port": "outlabel" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } - } - ] - } - } - }, - "92f3f1841aa67b4ab090bd8d975cef82ec86f688": { - "package": { - "name": "Registro", - "version": "0.1", - "description": "Registro de 5 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "3e5cba81-f603-48e0-b036-13f4e319197d", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 144 + "target": { + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "3b77778a-732e-4bb7-9169-b93066877379", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 80, - "y": 216 + "target": { + "block": "a6b77c0f-04de-46b4-adee-acf026acab7d", + "port": "inlabel" } }, { - "id": "23a50dc6-0372-4232-96d4-4f9bb84402e0", - "type": "basic.output", - "data": { - "name": "q", - "range": "[4:0]", - "size": 5 + "source": { + "block": "bb49a449-4992-4667-8697-84304a1987af", + "port": "outlabel" }, - "position": { - "x": 632, - "y": 248 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "192e9c77-ecdc-43fb-8383-b7d684012364", - "type": "basic.input", - "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "source": { + "block": "a3b58fde-785d-4a3a-8581-ba957700cfbc", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 272 - } + "target": { + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [] }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 344 - } - }, - { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "target": { + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" }, - "position": { - "x": 376, - "y": 56 - } + "vertices": [ + { + "x": 2344, + "y": 48 + } + ] }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 5;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q<=0;\n else\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d", - "range": "[4:0]", - "size": 5 - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q", - "range": "[4:0]", - "size": 5 - } - ] - } + "source": { + "block": "a10e58ca-ea03-432a-996f-cd686fe48f5e", + "port": "outlabel" }, - "position": { - "x": 280, - "y": 176 + "target": { + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" }, - "size": { - "width": 288, - "height": 200 - } - } - ], - "wires": [ + "vertices": [ + { + "x": 2368, + "y": 112 + } + ] + }, { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", + "size": 4 }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "418f1032-586b-4290-a8d5-8d01d3dbab84", + "port": "inlabel" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "e34cde32-285a-4a10-9564-3f183f9374d1", + "port": "inlabel" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", + "port": "inlabel" } }, { "source": { - "block": "192e9c77-ecdc-43fb-8383-b7d684012364", - "port": "out" + "block": "169cf0af-be61-4260-8041-84c135512759", + "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "aaf64b07-17a2-4a88-aa1f-de50490576e4" }, - "size": 5 + "vertices": [] }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "86b6c395-bfa3-4587-8882-6cab271726e3", + "port": "constant-out" }, "target": { - "block": "23a50dc6-0372-4232-96d4-4f9bb84402e0", - "port": "in" + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "b2eebea6-ddf2-4343-9c67-540357b39f3c" }, - "size": 5 + "vertices": [ + { + "x": 992, + "y": -16 + } + ] }, { "source": { - "block": "3b77778a-732e-4bb7-9169-b93066877379", - "port": "out" + "block": "a21db338-df4f-48a4-b152-c82fec1f9177", + "port": "memory-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "rst" - } - } - ] - } - } - }, - "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e": { - "package": { - "name": "adder-1op-5bits", - "version": "0.1", - "description": "5-bits adder. One operand is a constant (no carry)", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", - "type": "basic.input", - "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "45ea1069-91bb-442b-bc83-934f8cfdcadc" }, - "position": { - "x": 216, - "y": 192 - } + "vertices": [] }, { - "id": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", - "type": "basic.output", - "data": { - "name": "", - "range": "[4:0]", - "size": 5 + "source": { + "block": "fedc3ff5-0acd-464d-971d-c7cbf286889d", + "port": "constant-out" }, - "position": { - "x": 664, - "y": 192 - } - }, - { - "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": false + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "9582be63-5787-4ef5-8ab5-d4ca4c6ee968" }, - "position": { - "x": 448, - "y": 96 - } + "vertices": [ + { + "x": 888, + "y": -64 + } + ] }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", - "data": { - "code": "assign s = a + k;", - "params": [ - { - "name": "k" - } - ], - "ports": { - "in": [ - { - "name": "a", - "range": "[4:0]", - "size": 5 - } - ], - "out": [ - { - "name": "s", - "range": "[4:0]", - "size": 5 - } - ] - } + "source": { + "block": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", + "port": "constant-out" }, - "position": { - "x": 384, - "y": 192 + "target": { + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "bae76498-ebc5-4f79-9de7-ae7241b6dabc" }, - "size": { - "width": 216, - "height": 64 - } - } - ], - "wires": [ + "vertices": [ + { + "x": 880, + "y": 40 + } + ] + }, { "source": { - "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "block": "0d2c265d-25ed-4578-96fc-535e2bfb549e", "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "k" - } + "block": "b9cc853f-f307-4422-a7ce-37ff202b3bee", + "port": "cd059108-a479-4b5b-a628-3340cc270461" + }, + "vertices": [ + { + "x": 792, + "y": 56 + } + ] }, { "source": { - "block": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", - "port": "out" + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "fe696950-4552-47bb-8027-c7a9928bde98" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": 5 + "vertices": [] }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "a84954f7-810e-4b33-b933-994656925230", + "port": "constant-out" }, "target": { - "block": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", - "port": "in" - }, - "size": 5 - } - ] - } - } - }, - "dcac317be3a1ce461a24d7a75d6c112790f3b0d9": { - "package": { - "name": "2-op-comp", - "version": "0.1", - "description": "two operand 5-bits comparator", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "469d5d34-b849-4fcd-b5a5-c47808d7b043", - "type": "basic.input", - "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 - }, - "position": { - "x": 176, - "y": 120 + "block": "1df5b052-3b0e-44c3-a270-226a635c0402", + "port": "3ef4478a-c7bc-42d3-8288-3c787548347e" } }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "b80beb59-7db6-46b7-bbf6-02256373d959", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, - "position": { - "x": 616, - "y": 160 - } - }, - { - "id": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", - "type": "basic.input", - "data": { - "name": "", - "range": "[4:0]", - "clock": false, - "size": 5 + "target": { + "block": "052bdf09-bf96-4e1b-be26-437437dfa533", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, - "position": { - "x": 176, - "y": 216 - } + "vertices": [ + { + "x": 1488, + "y": 664 + } + ] }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", - "type": "basic.code", - "data": { - "code": "assign eq = (a == b);", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[4:0]", - "size": 5 - }, - { - "name": "b", - "range": "[4:0]", - "size": 5 - } - ], - "out": [ - { - "name": "eq" - } - ] - } + "source": { + "block": "5a037e31-7da9-4835-aa9b-2f39fa0709be", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, - "position": { - "x": 344, - "y": 160 + "target": { + "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": { - "width": 224, - "height": 64 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", + "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" }, "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "block": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", "port": "in" - } + }, + "vertices": [], + "size": 3 }, { "source": { - "block": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", - "port": "out" + "block": "760da67c-6906-462a-81d5-a39938f8cac7", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "b" + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c" }, - "size": 5 + "size": 32 }, { "source": { - "block": "469d5d34-b849-4fcd-b5a5-c47808d7b043", - "port": "out" + "block": "f027a3dd-1b00-421b-8ccc-43dc54000b64", + "port": "constant-out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" + "block": "760da67c-6906-462a-81d5-a39938f8cac7", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + }, + { + "source": { + "block": "a95f67a5-287f-42f1-a80e-8d8111036d7a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": 5 + "target": { + "block": "e019e08f-c2c5-4cd9-aefd-b2c5b8668ee8", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + } } ] } } }, - "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4": { + "370abb401e524efd3125464b094b41328bfde9b4": { "package": { - "name": "Constante-5bits", - "version": "0.0.1", - "description": "Valor genérico constante (menos 1), de 5 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "DeMux-1-2", + "version": "0.1", + "description": "1-to-2 DeMultplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "a87dc65e-e688-4659-8d4b-a8d56894145e", - "type": "basic.output", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", "data": { - "name": "k", - "range": "[4:0]", - "size": 5 + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 960, - "y": 248 + "x": 744, + "y": 368 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { "name": "", - "value": "1", - "local": false + "clock": false }, "position": { - "x": 728, - "y": 112 + "x": 136, + "y": 416 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", "data": { - "code": "assign k = VALUE-1;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[4:0]", - "size": 5 - } - ] - } + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 + "x": 272, + "y": 416 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "1" }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 1032, + "y": 424 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "a87dc65e-e688-4659-8d4b-a8d56894145e", - "port": "in" + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false }, - "size": 5 - } - ] - } - } - }, - "b157402d260787ef4d8e9c4d45d8038a90481236": { - "package": { - "name": "mi-tabla5-9", - "version": "0.1", - "description": "Circuito combinacional de 5 entradas y 9 salidas", - "author": "IceFactory 0.1", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 136, + "y": 528 + } + }, { - "id": "3fc3854f-e0b9-492c-94d9-4e5e39ae389c", - "type": "basic.output", + "id": "6daf7ae7-6704-44ee-b291-2e8188102286", + "type": "basic.outputLabel", "data": { - "name": "", - "virtual": true, - "range": "[8:0]", - "pins": [ - { - "index": "8", - "name": "NULL", - "value": "NULL" - }, - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 632, - "y": 432 + "x": 752, + "y": 544 } }, { - "id": "8a28aad8-c603-4fe5-98de-5ce348c23a3e", - "type": "basic.input", + "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "type": "basic.output", "data": { - "name": "", - "virtual": true, - "range": "[4:0]", - "pins": [ - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "name": "0" }, "position": { - "x": -8, - "y": 432 + "x": 1040, + "y": 600 } }, { - "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "type": "basic.memory", + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", "data": { - "name": "", - "list": "0\n0\n0\n0", - "local": false + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 328, - "y": 96 + "x": 464, + "y": 648 + } + }, + { + "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 888, + "y": 424 }, "size": { "width": 96, - "height": 104 + "height": 64 } }, { - "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "i", - "range": "[4:0]", - "size": 5 - } - ], - "out": [ - { - "name": "q", - "range": "[8:0]", - "size": 9 - } - ] - }, - "params": [ - { - "name": "DATA" - } - ], - "code": "\n//-- Bits del bus de entrada\nlocalparam N = 5;\n\n//-- Bits del bus de salida\nlocalparam M = 9;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend" + "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 648 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 176, - "y": 272 + "x": 888, + "y": 600 }, "size": { - "width": 392, - "height": 384 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "port": "memory-out" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "DATA" + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" } }, { "source": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "q" + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, "target": { - "block": "3fc3854f-e0b9-492c-94d9-4e5e39ae389c", - "port": "in" - }, - "size": 9 + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } }, { "source": { - "block": "8a28aad8-c603-4fe5-98de-5ce348c23a3e", - "port": "out" + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" }, "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "i" - }, - "size": 5 - } - ] - } - } - }, - "bae18b574f84242abb0e465664fca2670a50b5da": { - "package": { - "name": "Bus3-Split-2-1", - "version": "0.1", - "description": "Bus3-Split-2-1: Split the 3-bits bus into two: 2-bit and 1-bits buses", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, { - "id": "9e160bfa-47dc-4557-b2d7-c8fdc2ddd7f8", - "type": "basic.output", - "data": { - "name": "0", - "range": "[1:0]", - "size": 2 + "source": { + "block": "6daf7ae7-6704-44ee-b291-2e8188102286", + "port": "outlabel" }, - "position": { - "x": 600, - "y": 120 + "target": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 584, + "y": 544 + } + ] + }, + { + "source": { + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + } + }, + { + "source": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "port": "in" + } + }, + { + "source": { + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, "position": { - "x": 144, - "y": 184 + "x": 96, + "y": 56 } }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { - "name": "1" + "name": "" }, "position": { - "x": 608, - "y": 208 + "x": 600, + "y": 96 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "assign o1 = i[2:1];\nassign o0 = i[0];", + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[2:0]", - "size": 3 + "name": "a" + }, + { + "name": "b" } ], "out": [ { - "name": "o1", - "range": "[1:0]", - "size": 2 - }, - { - "name": "o0" + "name": "c" } ] } }, "position": { - "x": 296, - "y": 176 + "x": 256, + "y": 48 }, "size": { - "width": 224, - "height": 80 + "width": 304, + "height": 152 } } ], "wires": [ { "source": { - "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 3 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "9e160bfa-47dc-4557-b2d7-c8fdc2ddd7f8", - "port": "in" - }, - "size": 2 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" } } @@ -4469,1426 +4185,1565 @@ } } }, - "5681847446606150d3a2df8938776629c3ec7cba": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "LCD-controller-open-4-bits", - "version": "0.3", - "description": "LCD-controller-open-4-bits: Controlador de LCD en bucle abierto con interfaz de 4-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22582.772%22%20height=%22514.404%22%20viewBox=%220%200%20154.1918%20136.10265%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-30.881%20-39.646)%22%3E%3Cpath%20d=%22M162.957%20104.088c0%203.014-1.96%202.635-3.282%202.635H50.325c-1.322%200-2.4-1.094-2.4-4.108V42.693c0-3.022%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M56.314%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.082%200h6.064v6.219h-6.064zm6.064%200h6.081v6.219H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2054.718h6.08v6.211h-6.08zm6.08%200h6.073v6.211h-6.072zm6.082%200h6.064v6.211h-6.064zm6.064%200h6.081v6.211H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2060.929h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2067.158h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2073.386h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072zm6.082%200h6.064v6.229h-6.064zm6.064%200h6.081v6.229H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2079.615h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.082%200h6.064v6.22h-6.064zm6.064%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M62.395%2085.835h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072zm6.082%200h6.064v6.228h-6.064zm6.064%200h6.081v6.228H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M56.314%2092.063h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072zm6.08%200h6.065v6.22h-6.064zm6.065%200h6.081v6.22H80.63z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2048.49h6.072v6.219h-6.072zm6.072%200h6.081v6.219h-6.08zm6.081%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2048.49h6.073v6.219h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2054.718h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2067.158h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2073.386h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2079.615h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M89.743%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.824%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M114.058%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M89.743%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.824%2092.063h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M114.058%2092.063h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2048.49h6.08v6.219h-6.08zm6.08%200h6.073v6.219h-6.072zm6.073%200h6.081v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2048.49h6.073v6.219h-6.073zM147.479%2048.49h6.08v6.219h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2054.718h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2054.718h6.073v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2054.718h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2060.929h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2060.929h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2060.929h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2067.158h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2067.158h6.08v6.228h-6.08zm-24.307%206.228h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2073.386h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2073.386h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2079.615h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M147.479%2079.615h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M129.253%2085.835h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M141.406%2085.835h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M147.479%2085.835h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M123.172%2092.063h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M141.406%2092.063h6.073v6.22h-6.073zM147.479%2092.063h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2229.509%22%20y=%22140.759%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2229.509%22%20y=%22140.759%22%20font-weight=%22700%22%3EController%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.874%22%20y=%22169.801%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.874%22%20y=%22169.801%22%20font-weight=%22700%22%3EOpen%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2212.621%22%20cy=%22102.335%22%20cx=%22162.358%22%20fill=%22#fff%22%20stroke=%22green%22%20stroke-width=%22.527%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22109.323%22%20x=%22155.442%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.654%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22109.323%22%20x=%22155.442%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1666087588919 + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", - "type": "basic.outputLabel", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "busy3", - "blockColor": "navy" + "name": "" }, "position": { - "x": 1920, - "y": -296 + "x": 112, + "y": 72 } }, { - "id": "a6b77c0f-04de-46b4-adee-acf026acab7d", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "busy", - "blockColor": "navy" + "name": "" }, "position": { - "x": 2216, - "y": -280 + "x": 560, + "y": 72 } }, { - "id": "3e5cba81-f603-48e0-b036-13f4e319197d", - "type": "basic.outputLabel", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", "data": { - "name": "busy_ini", - "blockColor": "navy" + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 1920, - "y": -232 + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", "data": { - "name": "busy" + "info": "Input", + "readonly": true }, "position": { - "x": 2528, - "y": -144 + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 } }, { - "id": "bb49a449-4992-4667-8697-84304a1987af", - "type": "basic.outputLabel", + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", "data": { - "name": "busy", - "blockColor": "navy" + "info": "Output", + "readonly": true }, "position": { - "x": 2392, - "y": -144 + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { - "id": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, - "position": { - "x": 1456, - "y": -128 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" } - }, + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "67387837-1d48-4ba2-976e-f18a9e0f8376", - "type": "basic.inputLabel", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "busy3", - "blockColor": "navy" + "name": "" }, "position": { - "x": 1792, - "y": -104 + "x": 112, + "y": 40 } }, { - "id": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "rs", - "blockColor": "fuchsia" + "name": "" }, "position": { - "x": 1816, - "y": -48 + "x": 608, + "y": 72 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": 216, - "y": -40 + "x": 112, + "y": 96 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk" + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 368, - "y": -40 + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "a3b58fde-785d-4a3a-8581-ba957700cfbc", - "type": "basic.outputLabel", - "data": { - "name": "E", - "blockColor": "lightgray" + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, - "position": { - "x": 2224, - "y": -32 + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { - "id": "5a999f26-6728-4451-b529-6fd101c0da93", - "type": "basic.outputLabel", - "data": { - "name": "rs2", - "blockColor": "fuchsia" + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, - "position": { - "x": 1440, - "y": -24 + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { - "id": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", - "type": "basic.output", - "data": { - "name": "ctrl", - "range": "[2:0]", - "size": 3 + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, - "position": { - "x": 2536, - "y": 0 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" } - }, + } + ] + } + } + }, + "09be4222bca27dda5ca84bf0f48ba2c5c1df2122": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ { - "id": "9233847f-201d-41d2-a916-7c1eea4d7239", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", "type": "basic.outputLabel", "data": { - "name": "cmd2", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 1440, - "y": 32 + "x": 456, + "y": 360 } }, { - "id": "4f168944-7d2d-45d9-b27b-a1744a45668c", - "type": "basic.inputLabel", + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", "data": { - "name": "E", - "blockColor": "lightgray" + "name": "" }, "position": { - "x": 1856, - "y": 32 + "x": 1096, + "y": 392 } }, { - "id": "945a1ba2-9167-460e-9d8c-8a4b172524e8", - "type": "basic.inputLabel", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "busy2", - "blockColor": "navy" + "name": "1", + "clock": false }, "position": { - "x": 1088, - "y": 32 + "x": 136, + "y": 416 } }, { - "id": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", - "type": "basic.outputLabel", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", "data": { - "name": "rs", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 2224, - "y": 40 + "x": 272, + "y": 416 } }, { - "id": "a290409c-8fc0-4f31-974a-4886b48031e3", + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", "type": "basic.inputLabel", "data": { - "name": "cmd", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1128, - "y": 80 + "x": 272, + "y": 528 } }, { - "id": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", - "type": "basic.outputLabel", + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "0", + "clock": false }, "position": { - "x": 680, - "y": 80 + "x": 136, + "y": 528 } }, { - "id": "e34cde32-285a-4a10-9564-3f183f9374d1", - "type": "basic.inputLabel", + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", "data": { - "name": "rw", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1864, - "y": 88 + "x": 616, + "y": 552 } }, { - "id": "11645bae-f6bd-4389-9b75-46b74b11891e", - "type": "basic.outputLabel", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "name": "din2", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "name": "sel", + "clock": false }, "position": { - "x": 1456, - "y": 96 + "x": 376, + "y": 656 } }, { - "id": "a10e58ca-ea03-432a-996f-cd686fe48f5e", - "type": "basic.outputLabel", - "data": { - "name": "rw", - "blockColor": "fuchsia" - }, + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 2224, - "y": 112 + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rs", - "clock": false - }, + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 216, - "y": 136 + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", - "type": "basic.inputLabel", - "data": { - "name": "rs1", - "blockColor": "fuchsia" - }, + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 360, - "y": 136 + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "fuchsia" - }, + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 680, - "y": 136 + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 } + } + ], + "wires": [ + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", - "type": "basic.inputLabel", - "data": { - "name": "din_ini", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" }, - "position": { - "x": 1136, - "y": 136 - } + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "418f1032-586b-4290-a8d5-8d01d3dbab84", - "type": "basic.inputLabel", - "data": { - "name": "dout", - "range": "[3:0]", - "blockColor": "royalblue", - "size": 4 + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 1848, - "y": 152 + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" } }, { - "id": "d9bdb9fe-338e-4066-befe-5beb48905dc8", - "type": "basic.outputLabel", - "data": { - "name": "write2", - "blockColor": "red" + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, - "position": { - "x": 1464, - "y": 168 + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" } }, { - "id": "22fdad75-628e-49fb-925b-27a74e8a8e4a", - "type": "basic.output", - "data": { - "name": "d", - "range": "[3:0]", - "size": 4 + "source": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 2536, - "y": 192 - } + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + }, + "vertices": [] }, { - "id": "53130653-abaa-49a5-a17c-36543f5c0d2a", - "type": "basic.outputLabel", - "data": { - "name": "next", - "blockColor": "darkgreen" + "source": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 680, - "y": 192 - } + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "e51f952d-706e-4c3c-87ca-bba691fed3d4", - "type": "basic.outputLabel", - "data": { - "name": "dout", - "range": "[3:0]", - "blockColor": "royalblue", - "size": 4 + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 2384, - "y": 192 - } + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "6d089d72-773a-4edd-82a0-5dfacadb1184", - "type": "basic.inputLabel", - "data": { - "name": "write_ini", - "blockColor": "red" + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 1112, - "y": 224 - } + "target": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", - "type": "basic.inputLabel", - "data": { - "name": "din1", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 368, - "y": 232 - } + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", - "type": "basic.input", + "source": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + } + ] + } + } + }, + "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { + "package": { + "name": "bit-1", + "version": "0.2", + "description": "Constant bit 1", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "d", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "" }, "position": { - "x": 216, - "y": 232 + "x": 456, + "y": 120 } }, { - "id": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", - "type": "basic.inputLabel", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "next", - "blockColor": "darkgreen" + "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 1976, - "y": 272 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "5661df88-f6d1-49d6-bf74-258557be8bcb", - "type": "basic.inputLabel", + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "done_init", - "blockColor": "springgreen" + "name": "" }, "position": { - "x": 1080, - "y": 280 + "x": 456, + "y": 120 } }, { - "id": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", - "type": "basic.output", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "init_done" + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 2536, - "y": 296 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "d1a52ec25aee5e4823102a32325600666fe99e12": { + "package": { + "name": "8-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (8-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", "type": "basic.outputLabel", "data": { - "name": "done_init", - "blockColor": "springgreen" + "name": "A1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 2400, - "y": 296 + "x": 768, + "y": 192 } }, { - "id": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", "type": "basic.inputLabel", "data": { - "name": "write1", - "blockColor": "red" + "name": "A1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 368, - "y": 312 + "x": 552, + "y": 208 } }, { - "id": "3f2aa475-ede5-41f3-b8e3-b705332e72de", + "id": "520455a3-592c-4fd0-ade9-62d366c88919", "type": "basic.input", "data": { - "name": "write", - "clock": false + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 216, - "y": 312 + "x": 200, + "y": 264 } }, { - "id": "4077db41-3118-4b2b-8e7c-e02f03403951", + "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", "type": "basic.outputLabel", "data": { - "name": "busy_ini", - "blockColor": "navy" + "name": "B1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 1664, - "y": 344 + "x": 768, + "y": 264 } }, { - "id": "076fd796-3b96-4de8-9198-e6e8888b5ba8", - "type": "basic.output", + "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "type": "basic.inputLabel", "data": { - "name": "done" + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 2536, - "y": 376 + "x": 544, + "y": 280 } }, { - "id": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", - "type": "basic.inputLabel", + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1952, - "y": 376 + "x": 808, + "y": 416 } }, { - "id": "e58a680a-b7d8-44b9-9942-203656bb79dd", - "type": "basic.outputLabel", + "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 2400, - "y": 376 + "x": 1336, + "y": 432 } }, { - "id": "0ab5add2-3485-4419-95f2-c6a722533087", + "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", "type": "basic.inputLabel", "data": { - "name": "busy1", - "blockColor": "navy" + "name": "B1", + "range": "[3:0]", + "blockColor": "lightseagreen", + "size": 4 }, "position": { - "x": 1032, - "y": 496 + "x": 584, + "y": 528 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 736, - "y": 528 + "x": 808, + "y": 552 } }, { - "id": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", - "type": "basic.inputLabel", + "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "type": "basic.input", "data": { - "name": "start", - "blockColor": "fuchsia" + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 1032, - "y": 584 + "x": 208, + "y": 592 } }, { - "id": "25305d01-7980-4a92-8a5d-3d370f92edf0", - "type": "basic.outputLabel", + "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "type": "basic.inputLabel", "data": { - "name": "cmd", - "blockColor": "fuchsia" + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 1360, - "y": 592 + "x": 584, + "y": 608 } }, { - "id": "aacafad5-ebae-4fbb-b987-46e527ef6736", - "type": "basic.inputLabel", - "data": { - "name": "rs2", - "blockColor": "fuchsia" - }, - "position": { - "x": 2192, - "y": 608 - } - }, - { - "id": "112ed8d8-1940-442e-9692-122482e8601a", - "type": "basic.inputLabel", + "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "type": "basic.outputLabel", "data": { - "name": "cmd2", - "blockColor": "fuchsia" + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 }, "position": { - "x": 1680, - "y": 624 + "x": 808, + "y": 616 } }, { - "id": "5ba7b723-cc87-4e69-8494-be27491be24b", + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "navy", + "name": "sel", "oldBlockColor": "fuchsia" }, "position": { - "x": 608, - "y": 648 + "x": 808, + "y": 680 } }, { - "id": "6e03417e-d26a-47ca-bbf7-abed12cf829f", - "type": "basic.outputLabel", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "name": "rs1", - "blockColor": "fuchsia" + "name": "sel", + "clock": false }, "position": { - "x": 1872, - "y": 648 + "x": 392, + "y": 768 } }, { - "id": "12a003b2-96ca-479e-a925-273a66c415c9", - "type": "basic.outputLabel", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", "data": { - "name": "busy_ini", - "blockColor": "navy" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1872, - "y": 760 + "x": 552, + "y": 768 } }, { - "id": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", - "type": "basic.outputLabel", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", "data": { - "name": "busy_ini", - "blockColor": "navy" + "info": "Channel B", + "readonly": true }, "position": { - "x": 1360, - "y": 768 + "x": 424, + "y": 512 + }, + "size": { + "width": 144, + "height": 32 } }, { - "id": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", - "type": "basic.outputLabel", - "data": { - "name": "busy2", - "blockColor": "navy" - }, + "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", "position": { - "x": 648, - "y": 832 + "x": 968, + "y": 568 + }, + "size": { + "width": 96, + "height": 96 } }, { - "id": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", - "type": "basic.inputLabel", + "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", + "type": "basic.info", "data": { - "name": "busy_ini", - "blockColor": "navy" + "info": "Channel A", + "readonly": true }, "position": { - "x": 936, - "y": 848 + "x": 424, + "y": 168 + }, + "size": { + "width": 144, + "height": 32 } }, { - "id": "f3d19b64-b930-407e-8c30-f288d5554f77", - "type": "basic.outputLabel", - "data": { - "name": "din_ini", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 - }, + "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", "position": { - "x": 1376, - "y": 888 + "x": 1152, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "dca00596-5e72-496b-95a7-5b544abc6781", - "type": "basic.outputLabel", - "data": { - "name": "busy1", - "blockColor": "navy" - }, + "id": "10dbbb33-fa47-4d9f-8723-170519859684", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 650, - "y": 896 + "x": 376, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "d72de4a7-d7bd-4953-9ab1-501a0d876133", - "type": "basic.outputLabel", - "data": { - "name": "write_ini", - "blockColor": "red" - }, + "id": "9714e6fb-453b-435a-b124-72e5a30ff428", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 1896, - "y": 912 + "x": 392, + "y": 592 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "5e154911-3b02-4c25-b8b4-67a2cec51df8", - "type": "basic.inputLabel", - "data": { - "name": "din2", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 - }, + "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", "position": { - "x": 1704, - "y": 920 + "x": 960, + "y": 248 + }, + "size": { + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { - "id": "28815f36-60f4-4bcb-9b79-adf4436596f4", - "type": "basic.inputLabel", - "data": { - "name": "write2", - "blockColor": "red" + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "position": { - "x": 2192, - "y": 944 + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" } }, { - "id": "2d234231-a3ce-4933-a5fe-407c45ca0d49", - "type": "basic.outputLabel", - "data": { - "name": "din1", - "range": "[7:0]", - "blockColor": "royalblue", - "size": 8 + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, - "position": { - "x": 1376, - "y": 952 + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "8dfb44d1-7475-43bc-9627-5355d505e3a3", - "type": "basic.outputLabel", - "data": { - "name": "write1", - "blockColor": "red" + "source": { + "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "port": "outlabel" }, - "position": { - "x": 1896, - "y": 968 - } + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "vertices": [ + { + "x": 928, + "y": 624 + } + ], + "size": 4 }, { - "id": "4cb21564-35b2-42d6-9c4c-8976682fd720", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "port": "outlabel" }, - "position": { - "x": 1896, - "y": 1032 - } + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 }, { - "id": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", - "type": "basic.outputLabel", - "data": { - "name": "busy_ini", - "blockColor": "navy" + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, - "position": { - "x": 1368, - "y": 1056 - } - }, - { - "id": "0d2c265d-25ed-4578-96fc-535e2bfb549e", - "type": "basic.constant", - "data": { - "name": "C", - "value": "1", - "local": false + "target": { + "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "port": "inlabel" }, - "position": { - "x": 608, - "y": -40 - } + "size": 4 }, { - "id": "a21db338-df4f-48a4-b152-c82fec1f9177", - "type": "basic.memory", - "data": { - "name": "Inicializar_display_4_bits", - "list": "30 //-- Init 0\n30 //-- Init 1\n30 //-- Init 2\n20 //-- Funct Set. 4 bits\n28 //-- Funct Set. 2 lines\n0F //-- Disp ctrl: Disp on. Curso on. Blink ON\n01 //-- CLS\n", - "local": true, - "format": 10 + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, - "position": { - "x": 728, - "y": -328 + "target": { + "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "port": "inlabel" }, - "size": { - "width": 424, - "height": 160 - } + "size": 4 }, { - "id": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", - "type": "basic.constant", - "data": { - "name": "B", - "value": "1", - "local": false + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, - "position": { - "x": 728, - "y": -40 + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "fedc3ff5-0acd-464d-971d-c7cbf286889d", - "type": "basic.constant", - "data": { - "name": "", - "value": "7", - "local": true + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, - "position": { - "x": 824, - "y": -152 - } - }, - { - "id": "f027a3dd-1b00-421b-8ccc-43dc54000b64", - "type": "basic.constant", - "data": { - "name": "Espera_ms", - "value": "15", - "local": true + "target": { + "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "port": "inlabel" }, - "position": { - "x": 880, - "y": 472 - } + "size": 4 }, { - "id": "86b6c395-bfa3-4587-8882-6cab271726e3", - "type": "basic.constant", - "data": { - "name": "", - "value": "5", - "local": true + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, - "position": { - "x": 960, - "y": -120 - } - }, - { - "id": "169cf0af-be61-4260-8041-84c135512759", - "type": "basic.constant", - "data": { - "name": "Init", - "value": "4", - "local": true + "target": { + "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "port": "inlabel" }, - "position": { - "x": 1128, - "y": -72 - } + "size": 4 }, { - "id": "a84954f7-810e-4b33-b933-994656925230", - "type": "basic.constant", - "data": { - "name": "Fsys", - "value": "12_000_000", - "local": false + "source": { + "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "port": "outlabel" }, - "position": { - "x": 1608, - "y": -192 - } + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "size": 4 }, { - "id": "a98159b0-93b4-4af8-b3aa-2c421f6529d2", - "type": "basic.info", - "data": { - "info": "Arrancar la inicialización!", - "readonly": true + "source": { + "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "port": "outlabel" }, - "position": { - "x": 1016, - "y": 656 + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 }, - "size": { - "width": 272, - "height": 40 - } + "size": 4 }, { - "id": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "type": "f91a2729e24bdc5bb7370785202c65c6526d7a01", - "position": { - "x": 880, - "y": 568 + "source": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "type": "2f169f3a1deff908fb7c4915947bdd3a944d794b", - "position": { - "x": 736, - "y": 648 + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" }, - "size": { - "width": 96, - "height": 64 - } + "size": 4 }, { - "id": "b4934eee-e747-4dd6-986a-3a650d2732b7", - "type": "basic.info", - "data": { - "info": "**COMIENZO!**", - "readonly": true + "source": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, - "position": { - "x": 736, - "y": 616 + "target": { + "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "port": "in" }, - "size": { - "width": 144, - "height": 40 - } + "size": 8 }, { - "id": "2ca2bc5c-5510-4008-afdd-68b3a444a309", - "type": "basic.info", - "data": { - "info": "## Retraso inicial\n\nSe deben esperar 15ms para que el LCD arranque", - "readonly": true + "source": { + "block": "520455a3-592c-4fd0-ade9-62d366c88919", + "port": "out" }, - "position": { - "x": 680, - "y": 368 + "target": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "size": { - "width": 368, - "height": 72 - } + "size": 8 }, { - "id": "17c10570-79e4-4c17-a872-2cc590f8ab6a", - "type": "basic.info", - "data": { - "info": "Comandos necesarios para inicializar \ny configurar el LCD", - "readonly": true + "source": { + "block": "e045f285-627f-42f7-b073-faa36ef6d420", + "port": "out" }, - "position": { - "x": 1168, - "y": -272 + "target": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "size": { - "width": 304, - "height": 56 - } + "size": 8 }, { - "id": "3c503337-4d71-48b8-ace3-e001f62cc18b", - "type": "basic.info", - "data": { - "info": "Escribir comando actual", - "readonly": true + "source": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" }, - "position": { - "x": 1232, - "y": 256 + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" }, - "size": { - "width": 200, - "height": 32 - } - }, + "vertices": [ + { + "x": 1088, + "y": 360 + } + ], + "size": 4 + } + ] + } + } + }, + "952eda35358117b68b3f8a2489e9dc86168d0144": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ { - "id": "d4a7e9b5-b05b-467e-a306-e9478a92a1ec", - "type": "basic.info", + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", "data": { - "info": "Fin de Inicialización", - "readonly": true + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1192, - "y": 304 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": -72 } }, { - "id": "db22636c-9afd-4420-9c4b-0e2f19ac98ce", - "type": "basic.info", + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", "data": { - "info": "Número de comandos \nde inicialización", - "readonly": true + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 1232, - "y": -72 - }, - "size": { - "width": 176, - "height": 48 + "x": 712, + "y": 0 } }, { - "id": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "type": "f9ba6f319f17e07dc1b30ead541d9becdf39211e", - "position": { - "x": 832, - "y": 88 + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 192, - "height": 160 + "position": { + "x": 712, + "y": 72 } }, { - "id": "2aa67b45-7164-4ca7-a879-8e4cb8c762d5", - "type": "basic.info", + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", "data": { - "info": "Comandos totales", - "readonly": true + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 688, - "y": -152 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 160 } }, { - "id": "ad65b9e6-ab2d-4d2d-b09c-25599544eec9", - "type": "basic.info", + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", "data": { - "info": "Cursor ON/OFF", - "readonly": true + "blockColor": "fuchsia", + "name": "A3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 608, - "y": -80 - }, - "size": { - "width": 136, - "height": 40 + "x": 432, + "y": 160 } }, { - "id": "057e06e7-34c6-4e18-ad8e-3ebe4a1d4032", - "type": "basic.info", + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", "data": { - "info": "Blink ON/OFF", - "readonly": true + "blockColor": "fuchsia", + "name": "A2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 736, - "y": -80 - }, - "size": { - "width": 136, - "height": 40 + "x": 440, + "y": 224 } }, { - "id": "fcbd1dd3-091a-47cf-94e1-5d7ab073efc8", - "type": "basic.info", + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "type": "basic.outputLabel", "data": { - "info": "Dirección donde está \nel cmd disp_ctrl", - "readonly": true + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, "position": { - "x": 1072, - "y": -144 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 232 } }, { - "id": "df03c562-d346-45a8-a19e-bf9ab0824e03", - "type": "basic.info", + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", "data": { - "info": "Comando a escribir en \nel LCD", - "readonly": true + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 1248, - "y": 168 - }, - "size": { - "width": 192, - "height": 48 + "x": 120, + "y": 240 } }, { - "id": "a20bc4ef-ebc3-4411-9229-d6c89dfe0429", - "type": "basic.info", + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", "data": { - "info": "Tipo de comando", - "readonly": true + "blockColor": "fuchsia", + "name": "A1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1248, - "y": 96 - }, - "size": { - "width": 192, - "height": 48 + "x": 432, + "y": 296 } }, { - "id": "d53e38f4-7dd2-41ea-8132-ebc3e0ed1ba9", - "type": "basic.info", + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", "data": { - "info": "LCD-write-open-4-bits", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1632, - "y": 216 - }, - "size": { - "width": 184, - "height": 40 + "x": 712, + "y": 304 } }, { - "id": "d136b7e1-723d-4809-a13d-329086f6b8fe", - "type": "basic.info", + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", "data": { - "info": "Escribir un comando en el LCD", - "readonly": true + "blockColor": "fuchsia", + "name": "A0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1520, - "y": -232 - }, - "size": { - "width": 256, - "height": 40 + "x": 416, + "y": 360 } }, { - "id": "ba0115f9-03aa-4382-9ca1-4cae455b1855", - "type": "basic.info", + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", "data": { - "info": "Siguiente \ncomando", - "readonly": true + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2096, - "y": 272 - }, - "size": { - "width": 104, - "height": 56 + "x": 712, + "y": 400 } }, { - "id": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "type": "370abb401e524efd3125464b094b41328bfde9b4", - "position": { - "x": 1816, - "y": 288 + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1256, + "y": 416 } }, { - "id": "7fb1679b-6b56-4c10-8618-dab9aa40593d", - "type": "basic.info", + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", "data": { - "info": "Modo inicialización", - "readonly": true + "blockColor": "turquoise", + "name": "B3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" }, "position": { - "x": 1928, - "y": 248 - }, - "size": { - "width": 184, - "height": 32 + "x": 456, + "y": 464 } }, { - "id": "811959ff-8df1-457f-a1b8-cc4f6820377c", - "type": "basic.info", + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", "data": { - "info": "Modo normal", - "readonly": true + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" }, "position": { - "x": 1960, - "y": 352 - }, - "size": { - "width": 112, - "height": 32 + "x": 712, + "y": 488 } }, { - "id": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 800, - "y": 848 + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 464, + "y": 528 } }, { - "id": "9422b04f-ddaf-4c84-89bd-f86426c4102e", - "type": "basic.info", + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", "data": { - "info": "Señal que indica si el controladore está \nen la fase de inicialización o no", - "readonly": true + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 864, - "y": 792 - }, - "size": { - "width": 344, - "height": 56 + "x": 120, + "y": 544 } }, { - "id": "052bdf09-bf96-4e1b-be26-437437dfa533", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 1544, - "y": 608 + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 712, + "y": 560 } }, { - "id": "b80beb59-7db6-46b7-bbf6-02256373d959", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 1360, - "y": 664 + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 464, + "y": 592 } }, { - "id": "c2cf16c6-d7f4-4d91-a737-bcb6ef268c4f", - "type": "basic.info", + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", "data": { - "info": "## Seleccionar las entradas según el modo: Inicialización o normal\n\n", - "readonly": true + "blockColor": "fuchsia", + "name": "A0" }, "position": { - "x": 1352, - "y": 472 - }, - "size": { - "width": 696, - "height": 48 + "x": 720, + "y": 648 } }, { - "id": "42ddc817-5c60-4a4d-9fb2-2065517213bd", - "type": "basic.info", + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", "data": { - "info": "Modo normal", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1368, - "y": 744 - }, - "size": { - "width": 112, - "height": 32 + "x": 432, + "y": 648 } }, { - "id": "9a8dd966-bc11-4957-b881-9fc4d9c2c7f0", - "type": "basic.info", + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", "data": { - "info": "Modo inicialización", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1352, - "y": 568 - }, - "size": { - "width": 184, - "height": 32 + "x": 720, + "y": 720 } }, { - "id": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 2056, - "y": 592 + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 104, + "y": 728 } }, { - "id": "e156b7c4-d5a8-4d04-9262-43b6a87dd030", - "type": "basic.info", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", "data": { - "info": "Modo normal", - "readonly": true + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1880, - "y": 712 - }, - "size": { - "width": 112, - "height": 32 + "x": 264, + "y": 728 } }, { - "id": "87bf3e8e-d1d8-4e5e-900e-8d6ce8cc1bca", - "type": "basic.info", + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", "data": { - "info": "Modo inicialización", - "readonly": true + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1864, - "y": 552 - }, - "size": { - "width": 184, - "height": 32 + "x": 712, + "y": 800 } }, { - "id": "5a037e31-7da9-4835-aa9b-2f39fa0709be", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1872, - "y": 576 + "x": 856, + "y": 472 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "type": "d1a52ec25aee5e4823102a32325600666fe99e12", + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1544, - "y": 904 + "x": 864, + "y": 704 }, "size": { "width": 96, @@ -5896,43 +5751,43 @@ } }, { - "id": "5bd80e70-677e-4d21-9d22-e856719a4a60", + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", "type": "basic.info", "data": { - "info": "Modo inicialización", + "info": "Channel A", "readonly": true }, "position": { - "x": 1376, - "y": 856 + "x": 304, + "y": 128 }, "size": { - "width": 184, + "width": 144, "height": 32 } }, { - "id": "d7a92edf-cd96-48a5-ab53-9a24f26ceff3", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", "type": "basic.info", "data": { - "info": "Modo normal", + "info": "Channel B", "readonly": true }, "position": { - "x": 1376, - "y": 1016 + "x": 304, + "y": 480 }, "size": { - "width": 112, + "width": 144, "height": 32 } }, { - "id": "ba182779-c056-478b-8e36-1da81329baba", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 2056, - "y": 928 + "x": 856, + "y": 216 }, "size": { "width": 96, @@ -5940,2342 +5795,2213 @@ } }, { - "id": "d014a960-bc04-4ba6-bdd6-a982df503a2a", - "type": "basic.info", - "data": { - "info": "Modo inicialización", - "readonly": true - }, + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1904, - "y": 880 + "x": 1040, + "y": 384 }, "size": { - "width": 184, - "height": 32 + "width": 96, + "height": 128 } }, { - "id": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 2080, - "y": -280 + "x": 856, + "y": -16 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 2384, - "y": -16 + "x": 288, + "y": 512 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "cc44926c-bb26-4170-a496-60729e164f47", - "type": "619049c51d21132600a99f750206d8efd256613a", + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1632, - "y": -16 + "x": 280, + "y": 208 }, "size": { "width": 96, - "height": 192 + "height": 128 } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", "port": "out" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, "target": { - "block": "d10a0e32-fa1f-4754-8eb9-bb1ba740810e", - "port": "inlabel" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { "source": { - "block": "6c1d2b98-1df8-4b10-8b12-c2197de57eb4", - "port": "out", - "size": 8 + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, "target": { - "block": "824fb0f6-191d-49db-b8a0-62a3f872cf9c", - "port": "inlabel" - }, - "size": 8 + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } }, { "source": { - "block": "3f2aa475-ede5-41f3-b8e3-b705332e72de", - "port": "out" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "2776daa1-1069-45e6-ad0f-0e4f1afd565c", + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", "port": "inlabel" } }, { "source": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "f33ce305-2e39-42d3-a0e5-4d7652a527c9", + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "c45dab76-9d0f-4742-9e4d-3048637d245d" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "0ab5add2-3485-4419-95f2-c6a722533087", + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6" + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "port": "inlabel" } }, { "source": { - "block": "5ba7b723-cc87-4e69-8494-be27491be24b", + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", "port": "outlabel" }, "target": { - "block": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "750c0892-e316-4030-ab97-be28a90e1b76", - "size": 8 - }, - "target": { - "block": "63d8a439-5d8c-483f-a0ef-7bc7c9e2394c", - "port": "inlabel" - }, - "vertices": [], - "size": 8 - }, - { - "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d" + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" }, "target": { - "block": "6d089d72-773a-4edd-82a0-5dfacadb1184", - "port": "inlabel" - }, - "vertices": [] + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d" + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "port": "outlabel" }, "target": { - "block": "5661df88-f6d1-49d6-bf74-258557be8bcb", - "port": "inlabel" - }, - "vertices": [] + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "port": "outlabel" }, "target": { - "block": "945a1ba2-9167-460e-9d8c-8a4b172524e8", - "port": "inlabel" - }, - "vertices": [] + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } }, { "source": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959" + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "port": "outlabel" }, "target": { - "block": "a290409c-8fc0-4f31-974a-4886b48031e3", - "port": "inlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, "vertices": [] }, { "source": { - "block": "53130653-abaa-49a5-a17c-36543f5c0d2a", + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", "port": "outlabel" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "3df4344a-4d89-479a-a80e-6ba195a6ff79" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "c5a4cfa4-9f7f-402c-9a3d-f31ca5ae1559", + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", "port": "outlabel" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "42fd7f8e-77e7-4a4c-99a3-c649109a7f81", - "port": "outlabel" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "port": "inlabel" } }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "bdbaf9de-f627-41d1-a915-6b9c420deb8d" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "4f168944-7d2d-45d9-b27b-a1744a45668c", + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7" + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "port": "outlabel" }, "target": { - "block": "f41d0e5a-ffc1-4d05-8cb1-2910fcb99aa8", - "port": "inlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, "vertices": [] }, { "source": { - "block": "d9bdb9fe-338e-4066-befe-5beb48905dc8", + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", "port": "outlabel" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "9233847f-201d-41d2-a916-7c1eea4d7239", + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", "port": "outlabel" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "03e28265-5f95-42d6-8c5f-78975349b9c4" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "5a999f26-6728-4451-b529-6fd101c0da93", - "port": "outlabel" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "11645bae-f6bd-4389-9b75-46b74b11891e", - "port": "outlabel" - }, - "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "00289418-0491-4aa5-9cfd-6213c65a42db", - "size": 8 - }, - "vertices": [], - "size": 8 - }, - { - "source": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ce4ecd0b-5bd6-4151-bf84-d65d41dc7753", + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "1a83956a-47f9-46ac-b8dd-434ff7686b8f", + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "4077db41-3118-4b2b-8e7c-e02f03403951", - "port": "outlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" }, - "vertices": [] + "vertices": [ + { + "x": 984, + "y": 472 + } + ] }, { "source": { - "block": "26d2e4ac-9488-4f0c-a0d8-d24f78b8ddb0", - "port": "outlabel" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } }, { "source": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "9d7b97c8-2189-49fb-a670-8bed0b595c9a", - "port": "inlabel" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "vertices": [] + "vertices": [ + { + "x": 976, + "y": 352 + } + ] }, { "source": { - "block": "fc571f6d-6c6d-45ec-b4d0-64ef41230be5", - "port": "outlabel" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, "target": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "dca00596-5e72-496b-95a7-5b544abc6781", - "port": "outlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "fe02888f-328a-4d32-beaa-b4dc246339c7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } }, { "source": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" }, "target": { - "block": "112ed8d8-1940-442e-9692-122482e8601a", - "port": "inlabel" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "25305d01-7980-4a92-8a5d-3d370f92edf0", - "port": "outlabel" + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" }, "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] - }, + "size": 4 + } + ] + } + } + }, + "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "ab155de2-9a0e-4c40-9922-5e56cf0c62b3", - "port": "outlabel" - }, - "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" }, - "vertices": [] + "position": { + "x": 456, + "y": 360 + } }, { - "source": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "aacafad5-ebae-4fbb-b987-46e527ef6736", - "port": "inlabel" + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" }, - "vertices": [] + "position": { + "x": 1096, + "y": 392 + } }, { - "source": { - "block": "12a003b2-96ca-479e-a925-273a66c415c9", - "port": "outlabel" - }, - "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "1", + "clock": false }, - "vertices": [] + "position": { + "x": 136, + "y": 416 + } }, { - "source": { - "block": "6e03417e-d26a-47ca-bbf7-abed12cf829f", - "port": "outlabel" - }, - "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, - "vertices": [ - { - "x": 2000, - "y": 648 - } - ] + "position": { + "x": 272, + "y": 416 + } }, { - "source": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", - "size": 8 - }, - "target": { - "block": "5e154911-3b02-4c25-b8b4-67a2cec51df8", - "port": "inlabel" + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, - "vertices": [], - "size": 8 + "position": { + "x": 272, + "y": 528 + } }, { - "source": { - "block": "ba685b2a-55e7-4c32-9cb8-cfc7fa2d998a", - "port": "outlabel" - }, - "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "0", + "clock": false }, - "vertices": [] + "position": { + "x": 136, + "y": 528 + } }, { - "source": { - "block": "f3d19b64-b930-407e-8c30-f288d5554f77", - "port": "outlabel" - }, - "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "520455a3-592c-4fd0-ade9-62d366c88919", - "size": 8 - }, - "vertices": [], - "size": 8 - }, - { - "source": { - "block": "2d234231-a3ce-4933-a5fe-407c45ca0d49", - "port": "outlabel" - }, - "target": { - "block": "d9efc1dc-a439-4b0c-9e13-310ae3ce7515", - "port": "e045f285-627f-42f7-b073-faa36ef6d420", - "size": 8 - }, - "vertices": [ - { - "x": 1496, - "y": 960 - } - ], - "size": 8 - }, - { - "source": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "28815f36-60f4-4bcb-9b79-adf4436596f4", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "4cb21564-35b2-42d6-9c4c-8976682fd720", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] - }, - { - "source": { - "block": "d72de4a7-d7bd-4953-9ab1-501a0d876133", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 616, + "y": 552 + } }, { - "source": { - "block": "8dfb44d1-7475-43bc-9627-5355d505e3a3", - "port": "outlabel" - }, - "target": { - "block": "ba182779-c056-478b-8e36-1da81329baba", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false }, - "vertices": [] + "position": { + "x": 376, + "y": 656 + } }, { - "source": { - "block": "e51f952d-706e-4c3c-87ca-bba691fed3d4", - "port": "outlabel" - }, - "target": { - "block": "22fdad75-628e-49fb-925b-27a74e8a8e4a", - "port": "in", - "size": 4 + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 952, + "y": 392 }, - "size": 4 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "b5b4e130-0f26-4efd-8895-8baf31dad6a3", - "port": "outlabel" + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 600, + "y": 376 }, - "target": { - "block": "6066e5ea-fa58-4727-8b67-e9e86fb640b0", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "e58a680a-b7d8-44b9-9942-203656bb79dd", - "port": "outlabel" + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 656 }, - "target": { - "block": "076fd796-3b96-4de8-9198-e6e8888b5ba8", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4" + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 784, + "y": 568 }, - "target": { - "block": "67387837-1d48-4ba2-976e-f18a9e0f8376", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "5ec56b60-8cf6-4fae-8291-9b01acd12f61", + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", "port": "outlabel" }, "target": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } + }, + "vertices": [] }, { "source": { - "block": "3e5cba81-f603-48e0-b036-13f4e319197d", + "block": "8d94a294-a698-43c5-9777-874fd39b8586", "port": "outlabel" }, "target": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { "source": { - "block": "fdb30050-5ae5-43be-9190-322b63a2bbe2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "a6b77c0f-04de-46b4-adee-acf026acab7d", + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", "port": "inlabel" } }, { "source": { - "block": "bb49a449-4992-4667-8697-84304a1987af", - "port": "outlabel" + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" } }, { "source": { - "block": "a3b58fde-785d-4a3a-8581-ba957700cfbc", - "port": "outlabel" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" }, "vertices": [] }, { "source": { - "block": "dd2192e2-9d8c-425d-ae6b-ed3b41242884", - "port": "outlabel" - }, - "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" - }, - "vertices": [ - { - "x": 2344, - "y": 48 - } - ] - }, - { - "source": { - "block": "a10e58ca-ea03-432a-996f-cd686fe48f5e", - "port": "outlabel" + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "vertices": [ - { - "x": 2368, - "y": 112 - } - ] + "vertices": [] }, { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "size": 4 + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, "target": { - "block": "418f1032-586b-4290-a8d5-8d01d3dbab84", - "port": "inlabel" - }, - "size": 4 - }, - { - "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6" + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "target": { - "block": "e34cde32-285a-4a10-9564-3f183f9374d1", - "port": "inlabel" - } + "vertices": [] }, { "source": { - "block": "f027a3dd-1b00-421b-8ccc-43dc54000b64", - "port": "constant-out" + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "7ca578e5-8e36-4c04-bbea-144418c8e9af" + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "b9e00fcd-5c67-46bb-bd04-1cb9e0a77e0a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "e7ebc6f9-ce1a-413d-8609-61aa4f797909", - "port": "61d11f03-6fbc-4467-a947-d546fa6f6429" + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, "vertices": [] }, { "source": { - "block": "169cf0af-be61-4260-8041-84c135512759", - "port": "constant-out" + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "aaf64b07-17a2-4a88-aa1f-de50490576e4" + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, "vertices": [] - }, + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "86b6c395-bfa3-4587-8882-6cab271726e3", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "b2eebea6-ddf2-4343-9c67-540357b39f3c" + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false }, - "vertices": [ - { - "x": 992, - "y": -16 - } - ] + "position": { + "x": 80, + "y": 64 + } }, { - "source": { - "block": "a21db338-df4f-48a4-b152-c82fec1f9177", - "port": "memory-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "45ea1069-91bb-442b-bc83-934f8cfdcadc" + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false }, - "vertices": [] + "position": { + "x": 80, + "y": 136 + } }, { - "source": { - "block": "fedc3ff5-0acd-464d-971d-c7cbf286889d", - "port": "constant-out" - }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "9582be63-5787-4ef5-8ab5-d4ca4c6ee968" + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 }, - "vertices": [ - { - "x": 888, - "y": -64 - } - ] + "position": { + "x": 712, + "y": 200 + } }, { - "source": { - "block": "75d23aa3-cc8f-4869-a189-b6224a9b2d83", - "port": "constant-out" + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "bae76498-ebc5-4f79-9de7-ae7241b6dabc" + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false }, - "vertices": [ - { - "x": 880, - "y": 40 - } - ] + "position": { + "x": 80, + "y": 272 + } }, { - "source": { - "block": "0d2c265d-25ed-4578-96fc-535e2bfb549e", - "port": "constant-out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } }, - "target": { - "block": "f6fca2c5-59ed-48e7-b68f-5a5d03a861a2", - "port": "cd059108-a479-4b5b-a628-3340cc270461" + "position": { + "x": 296, + "y": 176 }, - "vertices": [ - { - "x": 792, - "y": 56 - } - ] - }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "fe696950-4552-47bb-8027-c7a9928bde98" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "6d9c9b3a-c051-4ad6-ae62-918ad74d1ce6", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } }, { "source": { - "block": "a84954f7-810e-4b33-b933-994656925230", - "port": "constant-out" + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" }, "target": { - "block": "cc44926c-bb26-4170-a496-60729e164f47", - "port": "3ef4478a-c7bc-42d3-8288-3c787548347e" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" } }, { "source": { - "block": "b80beb59-7db6-46b7-bbf6-02256373d959", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" }, "target": { - "block": "052bdf09-bf96-4e1b-be26-437437dfa533", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" }, "vertices": [ { - "x": 1488, - "y": 664 + "x": 200, + "y": 176 } ] }, { "source": { - "block": "5a037e31-7da9-4835-aa9b-2f39fa0709be", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" }, "target": { - "block": "069414cd-6eb3-40de-a3b7-4007b5bfec3c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" }, - "vertices": [] + "vertices": [ + { + "x": 224, + "y": 128 + } + ] }, { "source": { - "block": "94afb0b7-36e2-478a-a1e9-f0e04dc58957", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "ffd463b3-7f50-47ad-9d17-0a39bfe7f6a7", + "block": "55180947-6349-4a04-a151-ad69ea2b155e", "port": "in" }, - "vertices": [], - "size": 3 + "size": 4 } ] } } }, - "f91a2729e24bdc5bb7370785202c65c6526d7a01": { + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { "package": { - "name": "timer-msec", + "name": "Bus4-Split-all", "version": "0.1", - "description": "Temporizador en milisegundos. La señal p está activa durante el tiempo indicado. Por tic se emite un tic al finalizar", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20293.13756%20181.35395%22%20width=%22293.137%22%20height=%22181.354%22%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%2270.101%22%20fill=%22#fff%22/%3E%3Cpath%20d=%22M149.086%2013.679c-42.531%200-77.003%2034.472-77.003%2077.002%200%2042.531%2034.472%2077.003%2077.003%2077.003%2042.53%200%2077.003-34.472%2077.003-77.003%200-42.53-34.472-77.002-77.003-77.002zm0%20145.175c-37.673%200-68.173-30.539-68.173-68.173%200-37.633%2030.539-68.172%2068.173-68.172%2037.633%200%2068.172%2030.539%2068.172%2068.172%200%2037.634-30.538%2068.173-68.172%2068.173z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M146.772%2030.683h4.627v18.2h-4.627zM89.125%2092.965v-4.627h18.2v4.627zm62.261%2057.665h-4.627v-18.2h4.627zm57.647-62.28v4.628h-18.2V88.35zM105.044%2049.905l3.272-3.272%2012.87%2012.87-3.273%203.271zm3.28%2084.79l-3.27-3.272%2012.868-12.87%203.272%203.272zm84.774-3.265l-3.272%203.273-12.87-12.87%203.273-3.272zM189.85%2046.64l3.272%203.272-12.87%2012.87-3.271-3.272z%22%20fill=%22#333%22/%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%224.781%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M149.795%2070.653l2.722.288-2.109%2019.9-2.722-.288z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M181.26%2072.13l1.276%202.422-32.859%2017.296-1.275-2.422z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M152.016%2080.386c-1.08%200-1.928.887-1.928%201.928%200%20.656.309%201.234.81%201.581l-14.691%2041.451%201.002.347%2014.691-41.45h.116c1.08%200%201.928-.888%201.928-1.929a1.934%201.934%200%200%200-1.928-1.928z%22%20fill=%22#cf000f%22/%3E%3Ctext%20y=%2244.949%22%20x=%221.863%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2269.516%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.289%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2244.949%22%20x=%221.863%22%20font-weight=%22700%22%20font-size=%2239.724%22%3Ems%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-53.023%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-257.041%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ccircle%20cx=%22248.578%22%20cy=%2244.56%22%20r=%2243.648%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%221.824%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M279.216%2057.21h-5.01V30.018h-51.31v26.98h-5.456%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%224.56%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", "type": "basic.output", "data": { - "name": "p" + "name": "3" }, "position": { - "x": 1224, - "y": 96 + "x": 576, + "y": 80 } }, { - "id": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", - "type": "basic.input", + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "2" }, "position": { - "x": 472, - "y": 96 + "x": 600, + "y": 144 } }, { - "id": "61d11f03-6fbc-4467-a947-d546fa6f6429", + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", "type": "basic.input", "data": { "name": "", - "clock": false + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 456, - "y": 304 + "x": 128, + "y": 184 } }, { - "id": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", "type": "basic.output", "data": { - "name": "tic" + "name": "1" }, "position": { - "x": 1216, - "y": 304 + "x": 592, + "y": 240 } }, { - "id": "7ca578e5-8e36-4c04-bbea-144418c8e9af", - "type": "basic.constant", + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", "data": { - "name": "", - "value": "100", - "local": false + "name": "0" }, "position": { - "x": 848, - "y": -96 + "x": 568, + "y": 296 } }, { - "id": "e6b9690b-1da7-4600-9015-0bbed31633e2", - "type": "basic.info", - "data": { - "info": "**Arranque del** \n**temporizador**", - "readonly": true - }, - "position": { - "x": 448, - "y": 264 - }, - "size": { - "width": 144, - "height": 56 - } - }, - { - "id": "f3af4211-e3df-4710-a0a8-96a035a833a9", - "type": "basic.info", - "data": { - "info": "**Salida de pulso**", - "readonly": true - }, - "position": { - "x": 1224, - "y": 72 - }, - "size": { - "width": 176, - "height": 40 - } - }, - { - "id": "a15228f1-41aa-494c-82fb-5491bf5fcc13", - "type": "basic.info", - "data": { - "info": "**Salida de tic**", - "readonly": true - }, - "position": { - "x": 1216, - "y": 280 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "204eb46d-dd4f-47cf-b0f3-c9095263d325", - "type": "basic.info", - "data": { - "info": "**Parametro del timer** \nmilisegundos a esperar", - "readonly": true - }, - "position": { - "x": 832, - "y": -128 - }, - "size": { - "width": 208, - "height": 56 - } - }, - { - "id": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//localparam MS;\n\n//-- Constante para dividir y obtener una señal de \n//-- periodo 1ms\nlocalparam M = 12000;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Cable de reset para el corazon\nwire rst_heart;\n\n//-- Overflow del temporizador del corazon\nwire ov_heart;\n\n//-- Habilitacion del corazon\nwire ena;\n\n//-- Tics del corazon\nwire tic_heart;\n\n//-- Contador del corazon\nreg [N-1:0] heart=0;\n\nalways @(posedge clk)\n if (rst_heart)\n heart <= 0;\n else\n heart <= heart + 1;\n\n//-- Overflow del contador\nassign ov_heart = (heart == M-1);\n\n//-- La salida del corazon es la señal de overflow\nassign tic_heart = ov_heart;\n\n//-- Reset del corazon\nassign rst_heart =~ena | ov_heart;\n\n\n\n//--------------------------------------------\n//-- Contador de tics\n//--------------------------------------------\n\n//-- Calcular el numero de bits para almacenar MS tics\nlocalparam CB = $clog2(MS);\n\nreg [CB-1:0] counter = 0;\n\n//-- Overflow del contador\nwire ov;\n\n//-- Señal de reset del contador\nwire rst;\n\nalways @(posedge clk)\nif (rst)\n counter <= 0;\nelse\n if (tic_heart)\n counter <= counter + 1;\n\n//-- Evento: cuenta máxima de tics alcanzada\nassign ov = (counter == MS);\n\n//---------------------------------------\n//-- Biestable de estado del timer\n//-- 0: Apagado \n//-- 1: Funcionando\nreg q = 0;\n\nalways @(posedge clk)\n if (start)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;\n \n//-- Lógica de reset\n//En función de la entrada, el estado y \n// el overflow se inicializa el contador y \n// se habilita el corazón de tics\nassign rst = ~q | ov | start;\nassign ena = ~rst;\n\n//-- Salida de pulso\nassign p = q;\n\n//-- Salida de tic\n//-- Saca un tic cuando ha finalizado la cuenta\nassign tic = ov;\n", - "params": [ - { - "name": "MS" - } - ], + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "start" + "name": "i", + "range": "[3:0]", + "size": 4 } ], "out": [ { - "name": "p" + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" }, { - "name": "tic" + "name": "o0" } ] } }, "position": { - "x": 632, - "y": 24 + "x": 296, + "y": 176 }, "size": { - "width": 520, - "height": 416 + "width": 208, + "height": 80 } } ], "wires": [ { "source": { - "block": "61d11f03-6fbc-4467-a947-d546fa6f6429", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "start" + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { "source": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "p" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "block": "0f7487e5-b070-4277-bba6-acf69934afca", "port": "in" } }, { "source": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "tic" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", + "block": "33072210-9ba0-4659-8339-95952b939e6e", "port": "in" } }, { "source": { - "block": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "clk" + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" } }, { "source": { - "block": "7ca578e5-8e36-4c04-bbea-144418c8e9af", - "port": "constant-out" + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" }, "target": { - "block": "a66b0b7f-4692-489e-9140-fb81fef2a1c5", - "port": "MS" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 } ] } } }, - "2f169f3a1deff908fb7c4915947bdd3a944d794b": { + "afb28fd5426aea14477d11cbe30a290679f789f8": { "package": { - "name": "start", - "version": "0.2", - "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch", + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1616923422866 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", "type": "basic.input", "data": { - "name": "", - "clock": true - }, - "position": { - "x": 296, - "y": -48 - } - }, - { - "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 448, - "y": -48 - } - }, - { - "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 344, - "y": 120 + "x": 128, + "y": 168 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 656, - "y": 216 + "x": 640, + "y": 200 } }, { - "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", - "type": "basic.constant", + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", "data": { - "name": "", - "value": "1", - "local": true + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 488, - "y": 104 + "x": 128, + "y": 224 } }, { - "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", - "type": "basic.info", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "info": "System clock", - "readonly": true + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 304, - "y": -80 + "x": 296, + "y": 176 }, "size": { - "width": 120, - "height": 40 + "width": 272, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 216, - "y": 216 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 }, { - "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", - "type": "basic.info", - "data": { - "info": "Initial value: 1", - "readonly": true + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" }, - "position": { - "x": 504, - "y": 280 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": { - "width": 152, - "height": 40 - } + "size": 4 }, - { - "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", - "type": "basic.info", - "data": { - "info": "Initial value: 0", - "readonly": true - }, - "position": { - "x": 216, - "y": 288 - }, - "size": { - "width": 152, - "height": 40 - } - }, - { - "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", - "type": "basic.info", - "data": { - "info": "Falling edge", - "readonly": true - }, - "position": { - "x": 368, - "y": 264 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "type": "85dc1f75bb2706cba6e6c504fec08ea7c5195798", - "position": { - "x": 488, - "y": 200 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", "port": "out" }, "target": { - "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", - "port": "outlabel" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - }, - "vertices": [ - { - "x": 456, - "y": 184 - } - ] - }, - { - "source": { - "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } - }, - { - "source": { - "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", - "port": "constant-out" - }, - "target": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - } - }, - { - "source": { - "block": "b36ad6f3-efc4-41b7-8fa1-6b9b5a91af50", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "size": 4 } ] } } }, - "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { "package": { - "name": "bit-0", - "version": "0.2", - "description": "Constant bit 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", "type": "basic.output", "data": { - "name": "" + "name": "1", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 456, - "y": 120 + "x": 584, + "y": 104 } }, { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", "params": [], "ports": { - "in": [], + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], "out": [ { - "name": "q" + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 } ] } }, "position": { - "x": 168, - "y": 112 + "x": 272, + "y": 176 }, "size": { - "width": 248, - "height": 80 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", "port": "in" - } + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 } ] } } }, - "85dc1f75bb2706cba6e6c504fec08ea7c5195798": { + "2b9b8c7b12f595d67c236787e6f8d9426571540d": { "package": { - "name": "sys-DFF", - "version": "2.2", - "description": "System - D Flip-flop. Capture data every system clock cycle", + "name": "Bus3-Join-all", + "version": "0.1", + "description": "Bus3-Join-all: Joint three wires into a 3-bits Bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", - "type": "basic.output", + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", "data": { - "name": "nc" + "name": "2", + "clock": false }, "position": { - "x": 816, - "y": 112 + "x": 120, + "y": 120 } }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "1", + "clock": false }, "position": { - "x": 208, - "y": 160 + "x": 120, + "y": 200 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "id": "a7b70668-43df-4c7f-8da5-7076008e97bd", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 816, - "y": 224 + "x": 656, + "y": 200 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "type": "basic.input", "data": { - "name": "", + "name": "0", "clock": false }, "position": { - "x": 208, - "y": 304 + "x": 120, + "y": 272 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 512, - "y": 64 - } - }, - { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o = {i2, i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "i2" }, { - "name": "d" + "name": "i1" + }, + { + "name": "i0" } ], "out": [ { - "name": "q" + "name": "o", + "range": "[2:0]", + "size": 3 } ] } }, "position": { - "x": 384, - "y": 168 + "x": 296, + "y": 176 }, "size": { - "width": 344, - "height": 176 + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a7b70668-43df-4c7f-8da5-7076008e97bd", + "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } + } + ] + } + } + }, + "12181aafa567423f27b91da184acb1c9a94045c5": { + "package": { + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", "data": { - "info": "Parameter: Initial value", - "readonly": true + "name": "", + "clock": true }, "position": { - "x": 488, - "y": 32 + "x": 296, + "y": -48 + } + }, + { + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 208, - "height": 40 + "position": { + "x": 448, + "y": -48 } }, { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 344, + "y": 120 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 656, + "y": 216 + } + }, + { + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 488, + "y": 104 + } + }, + { + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", "type": "basic.info", "data": { "info": "System clock", "readonly": true }, "position": { - "x": 208, - "y": 136 + "x": 304, + "y": -80 }, "size": { "width": 120, - "height": 32 + "height": 40 } }, { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", "type": "basic.info", "data": { - "info": "Input data", + "info": "Initial value: 1", "readonly": true }, "position": { - "x": 224, + "x": 504, "y": 280 }, "size": { - "width": 112, + "width": 152, "height": 40 } }, { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", "type": "basic.info", "data": { - "info": "Output", + "info": "Initial value: 0", "readonly": true }, "position": { - "x": 840, - "y": 200 + "x": 216, + "y": 288 }, "size": { - "width": 80, + "width": 152, "height": 40 } }, { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", "type": "basic.info", "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "info": "Falling edge", "readonly": true }, "position": { - "x": 144, - "y": -136 + "x": 368, + "y": 264 }, "size": { - "width": 488, - "height": 104 + "width": 136, + "height": 40 } }, { - "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", - "type": "basic.info", - "data": { - "info": "Not connected", - "readonly": true - }, + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 808, - "y": 88 + "x": 488, + "y": 200 }, "size": { - "width": 176, - "height": 32 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 456, + "y": 184 + } + ] }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + }, + { + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } } ] } } }, - "f9ba6f319f17e07dc1b30ead541d9becdf39211e": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "LCD-config", - "version": "0.2", - "description": "Enviar los comandos de inicialización y configuración al controlador del LCD (de nivel 1)", + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22438.076%22%20height=%22589.782%22%20viewBox=%220%200%20115.90764%20156.04659%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.01%20147.136a3.474%203.474%200%200%201%200%204.912l-2.806%202.808a3.476%203.476%200%200%201-4.914%200l-19.827-19.829%207.72-7.72z%22%20fill=%22#08a%22/%3E%3Cpath%20fill=%22#999%22%20d=%22M57.214%20109.02l6.388%206.388%2011.615%2011.614-4.04%204.038-11.613-11.612-6.388-6.388-24.503-24.501-.136.135-4.752-.439-7.256-11.569%204.314-4.311%2011.569%207.255.439%204.75-.138.138z%22/%3E%3Cpath%20d=%22M80.798%20123.804l-1.396%203.721-7.72%207.72-3.722%201.396a.812.812%200%200%201-.86-.186l-1.903-1.902a.812.812%200%200%201%200-1.148l4.16-4.163%204.04-4.038%204.162-4.163a.811.811%200%200%201%201.148%200l1.904%201.904a.81.81%200%200%201%20.187.859z%22%20fill=%22#006680%22/%3E%3Cpath%20d=%22M91.963%2075.24c4.551%204.272%204.639%2011.43.257%2015.81a10.952%2010.952%200%200%201-4.396%202.69c-2.44.786-4.67%202.116-6.483%203.93l-19.7%2019.7-6.389-6.39%2013.662-13.66c3.372-3.373%205.117-8.086%204.589-12.826a10.983%2010.983%200%200%201%203.684-9.505%2010.888%2010.888%200%200%201%205.463-2.57l-2.054%206.583a4.87%204.87%200%200%200-.222%201.452%204.867%204.867%200%200%200%209.511%201.446z%22%20fill=%22#999%22/%3E%3Cpath%20d=%22M62.694%20116.948l-14.419%2014.416c-3.372%203.373-5.12%208.086-4.588%2012.825a10.993%2010.993%200%200%201-3.685%209.507%2010.922%2010.922%200%200%201-5.462%202.569l2.053-6.58a4.866%204.866%200%200%200-3.195-6.094v-.002a4.865%204.865%200%200%200-6.094%203.197l-2.079%206.657c-4.552-4.272-4.64-11.427-.258-15.808a10.946%2010.946%200%200%201%204.397-2.69c2.442-.787%204.672-2.117%206.484-3.93l20.458-20.455z%22%20fill=%22#999%22/%3E%3C/svg%3E", - "otid": 1666014804996 + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 216, - "y": -40 + "x": 208, + "y": 184 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "" }, "position": { - "x": 368, - "y": -40 + "x": 816, + "y": 232 } }, { - "id": "44b09b7f-49ba-4419-95c2-4272af3ca201", - "type": "basic.outputLabel", + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", "data": { - "name": "Disp_ctrl", - "range": "[7:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "clock": false }, "position": { - "x": 1288, - "y": -24 + "x": 208, + "y": 280 } }, { - "id": "6615d6fb-497b-466d-9409-2652eb24203f", - "type": "basic.inputLabel", + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "value": "0", + "local": false }, "position": { - "x": 832, - "y": -8 + "x": 512, + "y": 64 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", "data": { - "name": "busy", - "virtual": true, - "pins": [ + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ { - "index": "0", - "name": "NULL", - "value": "NULL" + "name": "INI" } - ] + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 1992, - "y": 48 + "x": 384, + "y": 168 + }, + "size": { + "width": 352, + "height": 192 } }, { - "id": "08dbc5c3-895f-4ad0-b170-97bcaab104b7", - "type": "basic.outputLabel", + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "Parameter: Initial value", + "readonly": true }, "position": { - "x": 1856, - "y": 48 + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "info": "System clock", + "readonly": true }, "position": { - "x": 536, - "y": 48 + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "a92d5871-9dae-4be3-b567-805a458f8b4e", - "type": "basic.inputLabel", + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", "data": { - "name": "n", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "Input data", + "readonly": true }, "position": { - "x": 864, - "y": 56 + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 } }, { - "id": "b07b44bc-ec84-421b-b460-7642620c8bb1", - "type": "basic.outputLabel", + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", "data": { - "name": "n", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "Output", + "readonly": true }, "position": { - "x": 1008, - "y": 56 + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 } }, { - "id": "b57ebf50-8785-4611-912a-8c8d5943a787", - "type": "basic.inputLabel", + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", "data": { - "name": "din", - "range": "[7:0]", - "blockColor": "royalblue", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true }, "position": { - "x": 1592, - "y": 56 + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 } }, { - "id": "26a7fd7f-5b85-4698-9c67-155435b706ce", - "type": "basic.outputLabel", + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", "data": { - "name": "cmd", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "Not connected", + "readonly": true }, "position": { - "x": 1856, - "y": 120 + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" } }, { - "id": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959", - "type": "basic.output", - "data": { - "name": "cmd", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, - "position": { - "x": 1984, - "y": 120 + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "bc3dff0a8356bc427c7a1e4c5ad3a3846e4c8299": { + "package": { + "name": "LCD-config", + "version": "0.3", + "description": "LCD-config: Enviar los comandos de inicialización y configuración al controlador del LCD (de nivel 1)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22438.076%22%20height=%22589.782%22%20viewBox=%220%200%20115.90764%20156.04659%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.01%20147.136a3.474%203.474%200%200%201%200%204.912l-2.806%202.808a3.476%203.476%200%200%201-4.914%200l-19.827-19.829%207.72-7.72z%22%20fill=%22#08a%22/%3E%3Cpath%20fill=%22#999%22%20d=%22M57.214%20109.02l6.388%206.388%2011.615%2011.614-4.04%204.038-11.613-11.612-6.388-6.388-24.503-24.501-.136.135-4.752-.439-7.256-11.569%204.314-4.311%2011.569%207.255.439%204.75-.138.138z%22/%3E%3Cpath%20d=%22M80.798%20123.804l-1.396%203.721-7.72%207.72-3.722%201.396a.812.812%200%200%201-.86-.186l-1.903-1.902a.812.812%200%200%201%200-1.148l4.16-4.163%204.04-4.038%204.162-4.163a.811.811%200%200%201%201.148%200l1.904%201.904a.81.81%200%200%201%20.187.859z%22%20fill=%22#006680%22/%3E%3Cpath%20d=%22M91.963%2075.24c4.551%204.272%204.639%2011.43.257%2015.81a10.952%2010.952%200%200%201-4.396%202.69c-2.44.786-4.67%202.116-6.483%203.93l-19.7%2019.7-6.389-6.39%2013.662-13.66c3.372-3.373%205.117-8.086%204.589-12.826a10.983%2010.983%200%200%201%203.684-9.505%2010.888%2010.888%200%200%201%205.463-2.57l-2.054%206.583a4.87%204.87%200%200%200-.222%201.452%204.867%204.867%200%200%200%209.511%201.446z%22%20fill=%22#999%22/%3E%3Cpath%20d=%22M62.694%20116.948l-14.419%2014.416c-3.372%203.373-5.12%208.086-4.588%2012.825a10.993%2010.993%200%200%201-3.685%209.507%2010.922%2010.922%200%200%201-5.462%202.569l2.053-6.58a4.866%204.866%200%200%200-3.195-6.094v-.002a4.865%204.865%200%200%200-6.094%203.197l-2.079%206.657c-4.552-4.272-4.64-11.427-.258-15.808a10.946%2010.946%200%200%201%204.397-2.69c2.442-.787%204.672-2.117%206.484-3.93l20.458-20.455z%22%20fill=%22#999%22/%3E%3C/svg%3E", + "otid": 1666014804996 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "start", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "name": "", + "clock": true }, "position": { "x": 216, - "y": 136 + "y": -40 } }, { - "id": "04bcfaf7-6176-4578-94fa-709865b62981", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", "type": "basic.inputLabel", "data": { - "name": "start", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "yellow", + "name": "clk" }, "position": { "x": 368, - "y": 136 + "y": -40 } }, { - "id": "85a78225-579a-4056-8979-b116b9620125", + "id": "44b09b7f-49ba-4419-95c2-4272af3ca201", "type": "basic.outputLabel", "data": { - "name": "start", + "name": "Disp_ctrl", + "range": "[7:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { - "x": 536, - "y": 136 + "x": 1288, + "y": -24 } }, { - "id": "5848cf78-ebd6-4885-a618-5cd2fb5568d7", + "id": "6615d6fb-497b-466d-9409-2652eb24203f", "type": "basic.inputLabel", "data": { - "name": "write", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 864, - "y": 184 + "x": 832, + "y": -8 } }, { - "id": "750c0892-e316-4030-ab97-be28a90e1b76", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "data", - "virtual": true, - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy" }, "position": { "x": 1992, - "y": 200 + "y": 48 } }, { - "id": "b7a4bc93-ae47-4421-ba00-6b6538ad2190", + "id": "08dbc5c3-895f-4ad0-b170-97bcaab104b7", "type": "basic.outputLabel", "data": { - "name": "din", - "range": "[7:0]", - "blockColor": "royalblue", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy", + "blockColor": "navy" }, "position": { "x": 1856, - "y": 200 + "y": 48 } }, { - "id": "bf4b3b4a-9cd1-407b-ae2d-ccd1d29dad44", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", "type": "basic.outputLabel", "data": { - "name": "next", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { "x": 536, - "y": 224 - } - }, - { - "id": "3df4344a-4d89-479a-a80e-6ba195a6ff79", - "type": "basic.input", - "data": { - "name": "next", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false - }, - "position": { - "x": 216, - "y": 232 + "y": 48 } }, { - "id": "bcbe9215-40a4-4842-8bda-7534caa16c7c", + "id": "a92d5871-9dae-4be3-b567-805a458f8b4e", "type": "basic.inputLabel", "data": { - "name": "next", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "n", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, "position": { - "x": 368, - "y": 232 + "x": 864, + "y": 56 } }, { - "id": "0ee2e6e3-a7ab-4dca-b070-6ee80655e458", + "id": "b07b44bc-ec84-421b-b460-7642620c8bb1", "type": "basic.outputLabel", "data": { "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { - "x": 1136, - "y": 240 + "x": 1008, + "y": 56 } }, { - "id": "23f8b93a-a091-411f-9d6d-a8f99741e4a6", + "id": "b57ebf50-8785-4611-912a-8c8d5943a787", "type": "basic.inputLabel", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "din", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 }, "position": { - "x": 832, - "y": 248 + "x": 1592, + "y": 56 } }, { - "id": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d", - "type": "basic.output", + "id": "26a7fd7f-5b85-4698-9c67-155435b706ce", + "type": "basic.outputLabel", "data": { - "name": "write", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 1992, - "y": 272 + "x": 1856, + "y": 120 } }, { - "id": "526350a8-59c6-4686-aa6a-ab03f9b611e2", - "type": "basic.outputLabel", + "id": "e3b363ac-7af2-44e5-a63c-2ad9c9ca9959", + "type": "basic.output", "data": { - "name": "write", - "blockColor": "red", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "cmd" }, "position": { - "x": 1856, - "y": 272 + "x": 1984, + "y": 120 } }, { - "id": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d", - "type": "basic.output", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "done", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "start", + "clock": false }, "position": { - "x": 1992, - "y": 336 + "x": 216, + "y": 136 } }, { - "id": "eeaebb81-7490-41d3-8986-c223be3be07b", - "type": "basic.outputLabel", + "id": "04bcfaf7-6176-4578-94fa-709865b62981", + "type": "basic.inputLabel", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 1856, - "y": 336 + "x": 368, + "y": 136 } }, { - "id": "3046432d-b383-4de5-b268-58abb0f6c82a", - "type": "basic.inputLabel", + "id": "85a78225-579a-4056-8979-b116b9620125", + "type": "basic.outputLabel", "data": { - "name": "cmd", + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 536, + "y": 136 + } + }, + { + "id": "5848cf78-ebd6-4885-a618-5cd2fb5568d7", + "type": "basic.inputLabel", + "data": { + "name": "write", + "blockColor": "red" + }, + "position": { + "x": 864, + "y": 184 + } + }, + { + "id": "750c0892-e316-4030-ab97-be28a90e1b76", + "type": "basic.output", + "data": { + "name": "data", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1992, + "y": 200 + } + }, + { + "id": "b7a4bc93-ae47-4421-ba00-6b6538ad2190", + "type": "basic.outputLabel", + "data": { + "name": "din", + "range": "[7:0]", + "blockColor": "royalblue", + "size": 8 + }, + "position": { + "x": 1856, + "y": 200 + } + }, + { + "id": "bf4b3b4a-9cd1-407b-ae2d-ccd1d29dad44", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "darkgreen" + }, + "position": { + "x": 536, + "y": 224 + } + }, + { + "id": "3df4344a-4d89-479a-a80e-6ba195a6ff79", + "type": "basic.input", + "data": { + "name": "next", + "clock": false + }, + "position": { + "x": 216, + "y": 232 + } + }, + { + "id": "bcbe9215-40a4-4842-8bda-7534caa16c7c", + "type": "basic.inputLabel", + "data": { + "name": "next", + "blockColor": "darkgreen" + }, + "position": { + "x": 368, + "y": 232 + } + }, + { + "id": "0ee2e6e3-a7ab-4dca-b070-6ee80655e458", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 + }, + "position": { + "x": 1136, + "y": 240 + } + }, + { + "id": "23f8b93a-a091-411f-9d6d-a8f99741e4a6", + "type": "basic.inputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" + }, + "position": { + "x": 832, + "y": 248 + } + }, + { + "id": "7d59b5dd-1732-4b2c-97c1-f6a03d98890d", + "type": "basic.output", + "data": { + "name": "write" + }, + "position": { + "x": 1992, + "y": 272 + } + }, + { + "id": "526350a8-59c6-4686-aa6a-ab03f9b611e2", + "type": "basic.outputLabel", + "data": { + "name": "write", + "blockColor": "red" + }, + "position": { + "x": 1856, + "y": 272 + } + }, + { + "id": "b855f3ca-cee4-4164-b14f-2b1de81b7e8d", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1992, + "y": 336 + } + }, + { + "id": "eeaebb81-7490-41d3-8986-c223be3be07b", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" + }, + "position": { + "x": 1856, + "y": 336 + } + }, + { + "id": "3046432d-b383-4de5-b268-58abb0f6c82a", + "type": "basic.inputLabel", + "data": { + "name": "cmd", + "blockColor": "fuchsia" }, "position": { "x": 1704, @@ -8289,24 +8015,7 @@ "name": "n", "range": "[2:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 3 }, "position": { "x": 1424, @@ -8320,49 +8029,7 @@ "name": "Disp_ctrl", "range": "[7:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "7", - "name": "NULL", - "value": "NULL" - }, - { - "index": "6", - "name": "NULL", - "value": "NULL" - }, - { - "index": "5", - "name": "NULL", - "value": "NULL" - }, - { - "index": "4", - "name": "NULL", - "value": "NULL" - }, - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 8 }, "position": { "x": 888, @@ -8465,18 +8132,6 @@ "y": 368 } }, - { - "id": "09a5b2c3-469d-41fa-a700-1674d78d9330", - "type": "0b13b4b2226817e4dd879ef834dc2ba07ae3687e", - "position": { - "x": 680, - "y": 104 - }, - "size": { - "width": 96, - "height": 128 - } - }, { "id": "149c3e5a-cd28-4f42-bc33-c0574883d800", "type": "basic.info", @@ -8744,6 +8399,18 @@ "width": 176, "height": 48 } + }, + { + "id": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", + "type": "d422557fd95e765b3e0216b4d29d5c32a2e2b5a4", + "position": { + "x": 680, + "y": 104 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ @@ -8780,7 +8447,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "73948305-b05b-418f-88af-11328f400cdc" }, "target": { @@ -8791,7 +8458,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "49221b19-132a-4e3f-9a1f-97bcd463391b" }, "target": { @@ -8802,7 +8469,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "6a11eaf9-4bd5-405e-81f8-44418365326b" }, "target": { @@ -8813,7 +8480,7 @@ }, { "source": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "2885a59c-c338-4e79-83bc-771f79ec7d2e", "size": 3 }, @@ -8830,7 +8497,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" }, "vertices": [] @@ -8841,7 +8508,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" }, "vertices": [] @@ -8852,7 +8519,7 @@ "port": "outlabel" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "cb426e3d-ace3-4a57-a74b-155caed0c14c" } }, @@ -9003,7 +8670,7 @@ "port": "constant-out" }, "target": { - "block": "09a5b2c3-469d-41fa-a700-1674d78d9330", + "block": "3bdf8953-c3dd-4312-b082-216efa9bcbb8", "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" }, "vertices": [] @@ -9147,478 +8814,622 @@ } } }, - "0b13b4b2226817e4dd879ef834dc2ba07ae3687e": { + "259ba4ac4c27d6d3ab8316419a0de7bf952a242e": { "package": { - "name": "count-04-2bits", + "name": "mi-tabla3-8", "version": "0.1", - "description": "Máquina de contar, de 2 bits (cuenta hasta 4 ciclos)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Circuito combinacional de 3 entradas y 8 salidas", + "author": "IceFactory 0.1", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "id": "186c4116-7846-4c8e-98a0-7376675105f1", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 592, - "y": -416 + "x": -8, + "y": 424 } }, { - "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "type": "basic.inputLabel", + "id": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 736, - "y": -416 + "x": 632, + "y": 424 } }, { - "id": "81fb4efb-363e-483e-b1df-c2ebda777355", - "type": "basic.outputLabel", + "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "type": "basic.memory", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "list": "0\n0\n0\n0", + "local": false }, "position": { - "x": 1416, - "y": -352 + "x": 328, + "y": 96 + }, + "size": { + "width": 96, + "height": 104 } }, { - "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "type": "basic.inputLabel", + "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "type": "basic.code", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "code": "\n//-- Bits del bus de entrada\nlocalparam N = 3;\n\n//-- Bits del bus de salida\nlocalparam M = 8;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend", + "params": [ + { + "name": "DATA" + } + ], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 952, - "y": -304 + "x": 176, + "y": 264 + }, + "size": { + "width": 392, + "height": 384 } - }, + } + ], + "wires": [ { - "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "rst" + "source": { + "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", + "port": "memory-out" }, - "position": { - "x": 1416, - "y": -288 + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "DATA" } }, { - "id": "40607400-436e-4dea-b733-8308a06fd4ef", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "186c4116-7846-4c8e-98a0-7376675105f1", + "port": "out" }, - "position": { - "x": 584, - "y": -224 - } + "target": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "i" + }, + "size": 3 }, { - "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "source": { + "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "port": "q" + }, + "target": { + "block": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "d8cb5af928591ff6b1ce2e5670dc1efbea1f9d9d": { + "package": { + "name": "Comp1-x03", + "version": "0.1", + "description": "Comp1-x03: Comparator of 3-bits operand and parameter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618596618675 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "Busy" + "name": "" }, "position": { - "x": 2312, - "y": -216 + "x": 904, + "y": 344 } }, { - "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "type": "basic.outputLabel", + "id": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", + "type": "basic.input", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 2152, - "y": -216 + "x": 520, + "y": 408 } }, { - "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "type": "basic.inputLabel", + "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "rst" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 952, - "y": -192 + "x": 520, + "y": 224 } }, { - "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", - "type": "basic.input", - "data": { - "name": "start", - "clock": false - }, + "id": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", "position": { - "x": 584, - "y": -136 + "x": 520, + "y": 328 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", - "type": "basic.inputLabel", - "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "size": 3 - }, + "id": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", "position": { - "x": 1824, - "y": -120 + "x": 720, + "y": 344 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", - "type": "basic.outputLabel", - "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "oldBlockColor": "royalblue", - "size": 3 + "source": { + "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "port": "constant-out" }, - "position": { - "x": 1200, - "y": -104 - } + "target": { + "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] }, { - "id": "9baa509b-0012-4e0e-b874-e62987258aa1", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "start" + "source": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 944, - "y": -72 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "afac67ea-64eb-4387-856b-46ad5d276971", - "type": "basic.outputLabel", - "data": { - "name": "cnt", - "range": "[2:0]", - "blockColor": "navy", - "size": 3 + "source": { + "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", + "port": "50004d4c-3153-4049-88f7-bad5e748383b" }, - "position": { - "x": 2160, - "y": -72 - } + "target": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5" + }, + "size": 3 }, { - "id": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "source": { + "block": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", + "port": "out" + }, + "target": { + "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", + "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" + }, + "size": 3 + } + ] + } + } + }, + "7b367d65207b1a2d3bb13af0fccc5d425d108259": { + "package": { + "name": "3-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 3-bits generic constant (0-7)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "50004d4c-3153-4049-88f7-bad5e748383b", "type": "basic.output", "data": { - "name": "n", + "name": "", "range": "[2:0]", "size": 3 }, "position": { - "x": 2312, - "y": -72 + "x": 952, + "y": 248 } }, { - "id": "45bd338b-4745-4b06-b199-462cdaffa31d", - "type": "basic.outputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "gold", - "name": "stop", - "oldBlockColor": "fuchsia" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 584, - "y": -24 + "x": 728, + "y": 128 } }, { - "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[2:0]", + "size": 3 + } + ] + } }, "position": { - "x": 1472, - "y": 16 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "5a3f8466-6a57-4607-939a-fb15222442a7", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "done" + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 920, - "y": 24 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "50004d4c-3153-4049-88f7-bad5e748383b", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "18457bd686e8b20fc5d40286f87f8393f6e52a51": { + "package": { + "name": "comp2-3bits", + "version": "0.1", + "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "exec", + "name": "a2", "oldBlockColor": "fuchsia" }, "position": { - "x": 2160, - "y": 104 - } - }, - { - "id": "73948305-b05b-418f-88af-11328f400cdc", - "type": "basic.output", - "data": { - "name": "exec" - }, - "position": { - "x": 2328, - "y": 104 + "x": 904, + "y": 0 } }, { - "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "type": "basic.outputLabel", + "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "on", + "blockColor": "fuchsia", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 1544, - "y": 136 + "x": 672, + "y": 64 } }, { - "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "on", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "b2", + "oldBlockColor": "deeppink" }, "position": { - "x": 648, - "y": 152 + "x": 904, + "y": 72 } }, { - "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", "type": "basic.inputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", - "oldBlockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1080, - "y": 168 + "x": 672, + "y": 120 } }, { - "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", "type": "basic.input", "data": { - "name": "next", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 616, - "y": 208 + "x": 360, + "y": 120 } }, { - "id": "d8820925-23d9-4f02-8491-adf813601d08", + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk" + "blockColor": "fuchsia", + "name": "a1" }, "position": { - "x": 1384, - "y": 232 + "x": 904, + "y": 176 } }, { - "id": "a756b829-42d1-4779-b42d-a9acc3800854", - "type": "basic.outputLabel", + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "done", - "oldBlockColor": "fuchsia" + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 2160, - "y": 248 + "x": 672, + "y": 176 } }, { - "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "done" + "name": "" }, "position": { - "x": 2320, - "y": 248 - } - }, - { - "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "exec", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 1952, - "y": 288 + "x": 1416, + "y": 232 } }, { - "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", "type": "basic.outputLabel", "data": { - "blockColor": "darkgreen", - "name": "cycle", + "blockColor": "deeppink", + "name": "b1", "oldBlockColor": "fuchsia" }, "position": { - "x": 1384, - "y": 304 + "x": 904, + "y": 248 } }, { - "id": "10afc859-04fd-433d-823a-4fa4721320c1", - "type": "basic.outputLabel", + "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "start" + "blockColor": "deeppink", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 1680, - "y": 376 + "x": 680, + "y": 272 } }, { - "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", "type": "basic.inputLabel", "data": { - "blockColor": "gold", - "name": "stop", + "blockColor": "deeppink", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 1144, - "y": 376 + "x": 680, + "y": 328 } }, { - "id": "5324c14f-171f-4f3c-9455-4c3252223087", - "type": "basic.outputLabel", + "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "type": "basic.input", "data": { - "name": "cnt", + "name": "", "range": "[2:0]", - "blockColor": "navy", - "oldBlockColor": "royalblue", + "clock": false, "size": 3 }, "position": { - "x": 688, - "y": 552 + "x": 368, + "y": 328 } }, { - "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "type": "basic.constant", + "id": "9eab6815-4005-453c-8f24-68951cced197", + "type": "basic.outputLabel", "data": { - "name": "N", - "value": "8", - "local": false + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 352 + "x": 904, + "y": 344 } }, { - "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "type": "basic.constant", + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "1", - "local": true + "blockColor": "deeppink", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1352, - "y": -208 + "x": 680, + "y": 384 } }, { - "id": "374613cb-968d-46d1-94a4-16686e7df28d", - "type": "basic.constant", + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": true + "blockColor": "deeppink", + "name": "b0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1648, - "y": -256 + "x": 904, + "y": 416 } }, { - "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "type": "438dedd956354c574afcde6f0793a7d369b2a031", + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 752, - "y": -168 + "x": 1056, + "y": 400 }, "size": { "width": 96, - "height": 128 + "height": 64 } }, { - "id": "d76d4948-a798-448c-adfa-c03b511371e5", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 1544, - "y": 288 + "x": 1056, + "y": 232 }, "size": { "width": 96, @@ -9626,455 +9437,328 @@ } }, { - "id": "bd61136e-6597-4728-a8c3-141a1841150b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, "position": { - "x": 808, - "y": 168 + "x": 408, + "y": 104 }, "size": { - "width": 96, - "height": 64 + "width": 80, + "height": 32 } }, { - "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, "position": { - "x": 1016, - "y": 376 + "x": 408, + "y": 304 }, "size": { - "width": 96, - "height": 64 + "width": 80, + "height": 32 } }, { - "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 1680, - "y": 272 + "x": 528, + "y": 104 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 1824, - "y": 288 + "x": 528, + "y": 312 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", - "type": "basic.info", - "data": { - "info": "**Reloj del sistema**", - "readonly": true - }, + "id": "398207eb-18f3-43a4-b4e5-481480023848", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 680, - "y": -472 + "x": 1056, + "y": 56 }, "size": { - "width": 192, - "height": 40 + "width": 96, + "height": 64 } }, { - "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", - "type": "basic.info", - "data": { - "info": "**Estado de** \n**la máquina**", - "readonly": true - }, + "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", "position": { - "x": 760, - "y": -248 + "x": 1272, + "y": 216 }, "size": { - "width": 160, - "height": 40 + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { - "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", - "type": "basic.info", - "data": { - "info": "Máquina encendida", - "readonly": true - }, - "position": { - "x": 936, - "y": -328 + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 168, - "height": 40 + "target": { + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "port": "inlabel" } }, { - "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", - "type": "basic.info", - "data": { - "info": "Máquina apagada \n(rst = 1)", - "readonly": true + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 952, - "y": -232 - }, - "size": { - "width": 152, - "height": 56 + "target": { + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" } }, { - "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", - "type": "basic.info", - "data": { - "info": "Tic de arranque", - "readonly": true - }, - "position": { - "x": 960, - "y": -96 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 160, - "height": 40 + "target": { + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "port": "inlabel" } }, { - "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", - "type": "basic.info", - "data": { - "info": "Tic de fin", - "readonly": true - }, - "position": { - "x": 944, - "y": 0 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 120, - "height": 32 + "target": { + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" } }, { - "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", - "type": "basic.info", - "data": { - "info": "**Contador de ciclos**", - "readonly": true - }, - "position": { - "x": 1480, - "y": -424 + "source": { + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "port": "outlabel" }, - "size": { - "width": 224, - "height": 40 + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", - "type": "basic.info", - "data": { - "info": "Número de ciclos \ncontados", - "readonly": true - }, - "position": { - "x": 1824, - "y": -160 + "source": { + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "port": "outlabel" }, - "size": { - "width": 160, - "height": 56 + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { - "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", - "type": "basic.info", - "data": { - "info": "Valor \nincrementado", - "readonly": true - }, - "position": { - "x": 1496, - "y": -120 + "source": { + "block": "9eab6815-4005-453c-8f24-68951cced197", + "port": "outlabel" }, - "size": { - "width": 128, - "height": 56 + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", - "type": "basic.info", - "data": { - "info": "### Salidas", - "readonly": true - }, - "position": { - "x": 2152, - "y": -328 + "source": { + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "port": "outlabel" }, - "size": { - "width": 280, - "height": 40 + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { - "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", - "type": "basic.info", - "data": { - "info": "Estado de la máquina", - "readonly": true - }, - "position": { - "x": 2160, - "y": -248 + "source": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "size": { - "width": 192, - "height": 40 + "target": { + "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "port": "inlabel" } }, { - "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", - "type": "basic.info", - "data": { - "info": "Ciclo actual", - "readonly": true - }, - "position": { - "x": 2168, - "y": -104 + "source": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "size": { - "width": 152, - "height": 40 + "target": { + "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "port": "inlabel" } }, { - "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", - "type": "basic.info", - "data": { - "info": "Cuenta finalizada", - "readonly": true + "source": { + "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "port": "outlabel" }, - "position": { - "x": 2160, - "y": 216 + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "size": { - "width": 176, - "height": 48 - } + "vertices": [] }, { - "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", - "type": "basic.info", - "data": { - "info": "Ejecutar el ciclo", - "readonly": true + "source": { + "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "port": "outlabel" }, - "position": { - "x": 2168, - "y": 80 + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" }, - "size": { - "width": 168, - "height": 40 - } + "vertices": [] }, { - "id": "eae9349c-e15a-4922-8652-1849ae8af424", - "type": "basic.info", - "data": { - "info": "Número de ciclos \na contar", - "readonly": true + "source": { + "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "port": "out" }, - "position": { - "x": 696, - "y": 288 + "target": { + "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" }, - "size": { - "width": 152, - "height": 56 - } + "size": 3 }, { - "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", - "type": "basic.info", - "data": { - "info": "¿Estamos en el \nciclo k-1?", - "readonly": true + "source": { + "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "port": "out" }, - "position": { - "x": 1024, - "y": 480 + "target": { + "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" }, - "size": { - "width": 144, - "height": 56 - } + "size": 3 }, { - "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", - "type": "basic.info", - "data": { - "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", - "readonly": true - }, - "position": { - "x": 648, - "y": 88 + "source": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": { - "width": 216, - "height": 80 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", - "type": "basic.info", - "data": { - "info": "Nuevo ciclo", - "readonly": true + "source": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 920, - "y": 168 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", - "type": "basic.info", - "data": { - "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", - "readonly": true - }, - "position": { - "x": 1128, - "y": 304 - }, - "size": { - "width": 208, - "height": 72 + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "9baabc30-8c27-4b55-92e4-d59783269162", - "type": "basic.info", - "data": { - "info": "Apagar la \nmáquina", - "readonly": true - }, - "position": { - "x": 600, - "y": -64 + "source": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 120, - "height": 56 + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", - "type": "basic.info", - "data": { - "info": "Ciclo nuevo: \nincrementar la cuenta", - "readonly": true - }, - "position": { - "x": 1464, - "y": -32 + "source": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 208, - "height": 56 + "target": { + "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", + "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" } - }, + } + ] + } + } + }, + "23b15b7d7a1bc7327fad365412864b15e4edf835": { + "package": { + "name": "comp2-1bit", + "version": "0.1", + "description": "Comp2-1bit: Comparator of two 1-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ { - "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", - "type": "basic.info", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", - "readonly": true + "name": "", + "clock": false }, "position": { - "x": 1680, - "y": 440 - }, - "size": { - "width": 248, - "height": 72 + "x": 368, + "y": 280 } }, { - "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", - "type": "basic.info", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", - "readonly": true + "name": "" }, "position": { - "x": 1688, - "y": 168 - }, - "size": { - "width": 208, - "height": 80 + "x": 832, + "y": 296 } }, { - "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", - "type": "basic.info", + "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "type": "basic.input", "data": { - "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", - "readonly": true - }, - "position": { - "x": 1432, - "y": 360 + "name": "", + "clock": false }, - "size": { - "width": 256, - "height": 72 - } - }, - { - "id": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "type": "3048aac04179d3c8aa21b28da9ad8bff04ce2899", "position": { - "x": 1648, - "y": -152 - }, - "size": { - "width": 96, - "height": 128 + "x": 368, + "y": 344 } }, { - "id": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "type": "b40da6e557650abc55a25a2c69a6511959dc84e2", + "id": "8839b104-b532-421e-a2da-a1272176ff9c", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { - "x": 856, - "y": 512 + "x": 544, + "y": 296 }, "size": { "width": 96, @@ -10082,23 +9766,11 @@ } }, { - "id": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "type": "e81274ef9d736a1810cc627ec4f61d7016e01548", + "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { "x": 680, - "y": 448 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "type": "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f", - "position": { - "x": 1352, - "y": -104 + "y": 296 }, "size": { "width": 96, @@ -10109,590 +9781,566 @@ "wires": [ { "source": { - "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", - "port": "outlabel" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "a2b70876-c7c0-4208-a403-eed81e32fe5a" + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "vertices": [ - { - "x": 1592, - "y": -192 - } - ] + "vertices": [] }, { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", - "port": "inlabel" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "9baa509b-0012-4e0e-b874-e62987258aa1", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "0d991cee-b329-439b-b9e7-5712d2db539d" + "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "port": "out" }, "target": { - "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", - "port": "inlabel" + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, - "vertices": [ - { - "x": 888, - "y": -216 - } - ] + "position": { + "x": 120, + "y": 48 + } }, { - "source": { - "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", - "port": "outlabel" + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 560, + "y": 72 } }, { - "source": { - "block": "45bd338b-4745-4b06-b199-462cdaffa31d", - "port": "outlabel" + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "ba15eacb-1e03-4580-932b-3231703481e5" + "position": { + "x": 120, + "y": 104 } }, { - "source": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", - "port": "inlabel" + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 } - }, + } + ], + "wires": [ { "source": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "5a3f8466-6a57-4607-939a-fb15222442a7", - "port": "inlabel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", - "port": "outlabel" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "a756b829-42d1-4779-b42d-a9acc3800854", - "port": "outlabel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" } - }, + } + ] + } + } + }, + "9a279550d6cd93b170311188e39bffc553a4f512": { + "package": { + "name": "Bus3-Split-all", + "version": "0.1", + "description": "Bus3-Split-all: Split the 3-bits bus into three wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", - "port": "outlabel" + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "position": { + "x": 552, + "y": 112 } }, { - "source": { - "block": "10afc859-04fd-433d-823a-4fa4721320c1", - "port": "outlabel" + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 552, + "y": 184 } }, { - "source": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "target": { - "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", - "port": "inlabel" + "position": { + "x": 144, + "y": 184 } }, { - "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" }, - "target": { - "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", - "port": "inlabel" + "position": { + "x": 552, + "y": 248 } }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ { "source": { - "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { "source": { - "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", - "port": "inlabel" + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" } }, { "source": { - "block": "40607400-436e-4dea-b733-8308a06fd4ef", - "port": "outlabel" + "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "port": "out" }, "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "046501b8-4427-4d4d-af97-7fe807774f33" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 3 }, { "source": { - "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "block": "33072210-9ba0-4659-8339-95952b939e6e", "port": "in" } - }, + } + ] + } + } + }, + "ae245c856f7fbc64ed4d999ce91be2c16d5ba038": { + "package": { + "name": "AND3", + "version": "1.0.2", + "description": "Three bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "81fb4efb-363e-483e-b1df-c2ebda777355", - "port": "outlabel" + "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 104, + "y": -40 } }, { - "source": { - "block": "d8820925-23d9-4f02-8491-adf813601d08", - "port": "outlabel" - }, - "target": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" }, - "vertices": [ - { - "x": 1496, - "y": 280 - } - ] + "position": { + "x": 552, + "y": 48 + } }, { - "source": { - "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", - "port": "outlabel" + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "73948305-b05b-418f-88af-11328f400cdc", - "port": "in" + "position": { + "x": 96, + "y": 56 } }, { - "source": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "26cb5fd1-f03e-440e-add7-90181e93e0aa", - "size": 3 - }, - "target": { - "block": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", - "port": "inlabel" - }, - "size": 3 - }, - { - "source": { - "block": "afac67ea-64eb-4387-856b-46ad5d276971", - "port": "outlabel" - }, - "target": { - "block": "2885a59c-c338-4e79-83bc-771f79ec7d2e", - "port": "in", - "size": 3 - }, - "size": 3 - }, - { - "source": { - "block": "5324c14f-171f-4f3c-9455-4c3252223087", - "port": "outlabel" - }, - "target": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "02895c3c-06cb-49d7-9e3f-012ee448d996", - "size": 3 - }, - "size": 3 - }, - { - "source": { - "block": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", - "port": "outlabel" - }, - "target": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", - "size": 3 - }, - "size": 3 - }, - { - "source": { - "block": "374613cb-968d-46d1-94a4-16686e7df28d", - "port": "constant-out" - }, - "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" - } - }, - { - "source": { - "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", - "port": "constant-out" - }, - "target": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" - } - }, - { - "source": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" }, - "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 96, + "y": 128 } }, { - "source": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 248, + "y": -24 }, - "target": { - "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "d76d4948-a798-448c-adfa-c03b511371e5", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 408, + "y": 48 }, - "target": { - "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "port": "out" }, "target": { - "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", - "port": "constant-out" - }, - "target": { - "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } - }, - { - "source": { - "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", - "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", - "port": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0" + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", - "port": "0867b1bc-1cc5-4707-8ddb-40d0318d237c" - }, - "size": 3 + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", - "port": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55" + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", - "port": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2" - }, - "size": 3 + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "3048aac04179d3c8aa21b28da9ad8bff04ce2899": { + "ed50bc004101bfcc4a4c396b8e7aed50cde543ac": { "package": { - "name": "Registro", - "version": "0.1", - "description": "Registro de 3 bits con entrada de reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "name": "Mayor-que-igual-1-op-3-bits", + "version": "0.2", + "description": "Comparador mayor que o igual, de un operando de 3 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.197%22%20height=%22366.876%22%20viewBox=%220%200%2088.95201%2097.069235%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2249.696%22%20y=%22150.143%22%20font-size=%22132.193%22%20stroke-width=%22.078%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3Ctspan%20x=%2249.696%22%20y=%22150.143%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.282%22%3E>%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22158.289%22%20y=%22125.35%22%20font-size=%2267.97%22%20stroke-width=%22.04%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3C/text%3E%3Cpath%20d=%22M2.332%2090.88L86.62%2059.13%22%20stroke=%22#00f%22%20stroke-width=%2213.229%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 80, - "y": 168 - } - }, - { - "id": "a2b70876-c7c0-4208-a403-eed81e32fe5a", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false - }, - "position": { - "x": 80, - "y": 216 - } - }, - { - "id": "26cb5fd1-f03e-440e-add7-90181e93e0aa", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", "type": "basic.output", "data": { - "name": "q", - "range": "[2:0]", - "size": 3 + "name": "" }, "position": { - "x": 632, - "y": 248 + "x": 616, + "y": 160 } }, { - "id": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", + "id": "3baedf81-c82e-4ab2-a5ee-86c786996c27", "type": "basic.input", "data": { - "name": "", + "name": "a", + "virtual": true, "range": "[2:0]", - "clock": false, - "size": 3 - }, - "position": { - "x": 80, - "y": 272 - } - }, - { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", + "pins": [ + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], "clock": false }, "position": { - "x": 80, - "y": 320 + "x": 152, + "y": 160 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "437fac17-b8b4-4d9f-8d03-27300b3b9466", "type": "basic.constant", "data": { "name": "", - "value": "0", + "value": "1", "local": false }, "position": { - "x": 376, - "y": 56 + "x": 408, + "y": 48 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", "type": "basic.code", "data": { - "code": "localparam N = 3;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q <= 0;\n else\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "rst" - }, - { - "name": "d", + "name": "a", "range": "[2:0]", "size": 3 - }, - { - "name": "load" } ], "out": [ { - "name": "q", - "range": "[2:0]", - "size": 3 + "name": "eq" } ] - } + }, + "params": [ + { + "name": "B" + } + ], + "code": "assign eq = (a >= B);" }, "position": { - "x": 280, - "y": 176 + "x": 344, + "y": 160 }, "size": { - "width": 288, - "height": 200 + "width": 224, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" - } - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" } }, { "source": { - "block": "a2b70876-c7c0-4208-a403-eed81e32fe5a", - "port": "out" + "block": "437fac17-b8b4-4d9f-8d03-27300b3b9466", + "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "rst" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "B" } }, { "source": { - "block": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", + "block": "3baedf81-c82e-4ab2-a5ee-86c786996c27", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 3 - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" - }, - "target": { - "block": "26cb5fd1-f03e-440e-add7-90181e93e0aa", - "port": "in" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" }, "size": 3 } @@ -10700,150 +10348,155 @@ } } }, - "b40da6e557650abc55a25a2c69a6511959dc84e2": { + "2ae6c6f4418c0c187b3627376225fc4f7442bc3b": { "package": { - "name": "Comparador de dos operandos", + "name": "Bus8-Join-6-2", "version": "0.1", - "description": "Comparador de dos operandos de 3 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Bus8-Join-6-2: Join the two buses into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "id": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "1", + "range": "[5:0]", "clock": false, - "size": 3 + "size": 6 }, "position": { - "x": 152, - "y": 104 + "x": 128, + "y": 136 } }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 616, - "y": 160 + "x": 640, + "y": 200 } }, { - "id": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "id": "d27f1697-8fc0-4125-9747-9691b098cb29", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "0", + "range": "[1:0]", "clock": false, - "size": 3 + "size": 2 }, "position": { - "x": 152, - "y": 200 + "x": 136, + "y": 224 } }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign eq = (a == b);", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[2:0]", - "size": 3 + "name": "i1", + "range": "[5:0]", + "size": 6 }, { - "name": "b", - "range": "[2:0]", - "size": 3 + "name": "i0", + "range": "[1:0]", + "size": 2 } ], "out": [ { - "name": "eq" + "name": "o", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 344, - "y": 160 + "x": 296, + "y": 176 }, "size": { - "width": 224, - "height": 64 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "port": "in" - } + }, + "size": 8 }, { "source": { - "block": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "block": "d27f1697-8fc0-4125-9747-9691b098cb29", "port": "out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "size": 3 + "size": 2 }, { "source": { - "block": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "block": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", "port": "out" }, "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 3 + "size": 6 } ] } } }, - "e81274ef9d736a1810cc627ec4f61d7016e01548": { + "a5ad63c4387b9d7a9548afddbc8a9787b9328790": { "package": { - "name": "Constante-3bits", - "version": "0.0.1", - "description": "Valor genérico constante (menos 1), de 3 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "name": "6-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 6-bits generic constant (0-63)", "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "id": "72bdb170-f2b3-4c77-a258-db39a7bef38d", "type": "basic.output", "data": { - "name": "k", - "range": "[2:0]", - "size": 3 + "name": "", + "range": "[5:0]", + "size": 6 }, "position": { - "x": 960, + "x": 944, "y": 248 } }, @@ -10852,19 +10505,19 @@ "type": "basic.constant", "data": { "name": "", - "value": "1", + "value": "0", "local": false }, "position": { "x": 728, - "y": 112 + "y": 128 } }, { "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "assign k = VALUE-1;", + "code": "assign k = VALUE;", "params": [ { "name": "VALUE" @@ -10875,8 +10528,8 @@ "out": [ { "name": "k", - "range": "[2:0]", - "size": 3 + "range": "[5:0]", + "size": 6 } ] } @@ -10908,1259 +10561,1150 @@ "port": "k" }, "target": { - "block": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "block": "72bdb170-f2b3-4c77-a258-db39a7bef38d", "port": "in" }, - "size": 3 + "size": 6 } ] } } }, - "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f": { + "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { "package": { - "name": "sum-1op-3bits", + "name": "Bus2-Join-all", "version": "0.1", - "description": "Sumador de un operando de 3 bits con una constante pasada como parámetro (No hay accarreo)", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "1", + "clock": false }, "position": { - "x": 208, - "y": 192 + "x": 112, + "y": 144 } }, { - "id": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", "type": "basic.output", "data": { "name": "", - "range": "[2:0]", - "size": 3 + "range": "[1:0]", + "size": 2 }, "position": { - "x": 672, - "y": 192 + "x": 584, + "y": 200 } }, { - "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "type": "basic.constant", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", "data": { - "name": "", - "value": "1", - "local": false + "name": "0", + "clock": false }, "position": { - "x": 448, - "y": 96 + "x": 112, + "y": 256 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + k;", - "params": [ - { - "name": "k" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "a", - "range": "[2:0]", - "size": 3 + "name": "i1" + }, + { + "name": "i0" } ], "out": [ { - "name": "s", - "range": "[2:0]", - "size": 3 + "name": "o", + "range": "[1:0]", + "size": 2 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 296, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", - "port": "constant-out" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "k" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 3 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", "port": "in" }, - "size": 3 + "size": 2 } ] } } }, - "259ba4ac4c27d6d3ab8316419a0de7bf952a242e": { + "6b14d5741f7e62a08c61190175d6447b05954bbd": { "package": { - "name": "mi-tabla3-8", - "version": "0.1", - "description": "Circuito combinacional de 3 entradas y 8 salidas", - "author": "IceFactory 0.1", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22184.803%22%20height=%22197.944%22%20viewBox=%220%200%20173.25325%20185.57257%22%3E%3Cg%20transform=%22translate(-181.933%20-240.254)%22%20stroke=%22#000%22%3E%3Crect%20width=%22170.253%22%20height=%22182.573%22%20x=%22183.433%22%20y=%22241.754%22%20ry=%2219.969%22%20fill=%22#3e8db8%22%20stroke-width=%223%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22274.019%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22274.413%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22196.408%22%20y=%22381.832%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22246.344%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20width=%2244.038%22%20height=%2231.478%22%20x=%22296.415%22%20y=%22382.225%22%20ry=%220%22%20fill=%22#e6e6e6%22%20stroke-width=%222%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25;text-align:center%22%20x=%22267.708%22%20y=%22368.911%22%20font-weight=%22400%22%20font-size=%2212.5%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%223%22%3E%3Ctspan%20x=%22267.708%22%20y=%22368.911%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2270%22%3EHEX%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "1-bit-gen-constant", + "version": "0.0.2", + "description": "1-bit generic constant (0/1)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "186c4116-7846-4c8e-98a0-7376675105f1", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 - }, - "position": { - "x": -8, - "y": 424 - } - }, - { - "id": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 632, - "y": 424 + "x": 960, + "y": 248 } }, { - "id": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "type": "basic.memory", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { "name": "", - "list": "0\n0\n0\n0", + "value": "0", "local": false }, "position": { - "x": 328, - "y": 96 - }, - "size": { - "width": 96, - "height": 104 + "x": 728, + "y": 128 } }, { - "id": "48c38eba-4e12-44d9-a55b-a93def6a27db", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "\n//-- Bits del bus de entrada\nlocalparam N = 3;\n\n//-- Bits del bus de salida\nlocalparam M = 8;\n\n//-- Calcular tamaño de la tabla\n//-- (filas) segun los bits de entrada\nlocalparam TAM = 2 ** N;\n\n//-- Definición de la tabla\n//-- Tabla de TAM elementos de M bits\nreg [M-1:0] tabla[0:TAM-1];\n\n//-- Read the table\nassign q = tabla[i];\n\n//-- Init table from DATA parameters\ninitial begin\n if (DATA) $readmemh(DATA, tabla);\nend", + "code": "assign k = VALUE;", "params": [ { - "name": "DATA" + "name": "VALUE" } ], "ports": { - "in": [ - { - "name": "i", - "range": "[2:0]", - "size": 3 - } - ], + "in": [], "out": [ { - "name": "q", - "range": "[7:0]", - "size": 8 + "name": "k" } ] } }, "position": { - "x": 176, - "y": 264 + "x": 672, + "y": 248 }, "size": { - "width": 392, - "height": 384 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "c71c44ac-0500-4eb5-9c1c-4ea21cd78377", - "port": "memory-out" + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "DATA" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { "source": { - "block": "186c4116-7846-4c8e-98a0-7376675105f1", - "port": "out" - }, - "target": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "i" - }, - "size": 3 - }, - { - "source": { - "block": "48c38eba-4e12-44d9-a55b-a93def6a27db", - "port": "q" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "f9c58d8f-75fc-4483-b0d2-d584a555b1c5", + "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", "port": "in" - }, - "size": 8 + } } ] } } }, - "d1a52ec25aee5e4823102a32325600666fe99e12": { + "d422557fd95e765b3e0216b4d29d5c32a2e2b5a4": { "package": { - "name": "8-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (8-bit channels)", + "name": "count-04-3bits", + "version": "0.2", + "description": "Máquina de contar, de 2 bits (cuenta hasta 4 ciclos)", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", - "type": "basic.outputLabel", + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", "data": { - "name": "A1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 + "name": "", + "clock": true }, "position": { - "x": 768, - "y": 192 + "x": 592, + "y": -416 } }, { - "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", "type": "basic.inputLabel", "data": { - "name": "A1", - "range": "[3:0]", - "blockColor": "fuchsia", - "size": 4 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 552, - "y": 208 + "x": 736, + "y": -416 } }, { - "id": "520455a3-592c-4fd0-ade9-62d366c88919", - "type": "basic.input", + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", + "type": "basic.outputLabel", "data": { - "name": "1", - "range": "[7:0]", - "clock": false, - "size": 8 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 200, - "y": 264 + "x": 1416, + "y": -352 } }, { - "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", - "type": "basic.outputLabel", + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", "data": { - "name": "B1", - "range": "[3:0]", - "oldBlockColor": "fuchsia", - "blockColor": "turquoise", - "size": 4 + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, "position": { - "x": 768, - "y": 264 + "x": 952, + "y": -304 } }, { - "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", - "type": "basic.inputLabel", + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", "data": { - "name": "A0", - "range": "[3:0]", "blockColor": "fuchsia", - "size": 4 + "name": "rst" }, "position": { - "x": 544, - "y": 280 + "x": 1416, + "y": -288 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "id": "40607400-436e-4dea-b733-8308a06fd4ef", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 808, - "y": 416 + "x": 584, + "y": -224 } }, { - "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "Busy" }, "position": { - "x": 1336, - "y": 432 + "x": 2312, + "y": -216 } }, { - "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", - "type": "basic.inputLabel", + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", "data": { - "name": "B1", - "range": "[3:0]", - "blockColor": "lightseagreen", - "size": 4 + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": 528 + "x": 2152, + "y": -216 } }, { - "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", - "type": "basic.outputLabel", + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", "data": { - "name": "A0", - "range": "[3:0]", "blockColor": "fuchsia", - "size": 4 + "name": "rst" }, "position": { - "x": 808, - "y": 552 + "x": 952, + "y": -192 } }, { - "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", "type": "basic.input", "data": { - "name": "0", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "start", + "clock": false }, "position": { - "x": 208, - "y": 592 + "x": 584, + "y": -136 } }, { - "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "id": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", "type": "basic.inputLabel", "data": { - "name": "B0", - "range": "[3:0]", - "blockColor": "turquoise", - "size": 4 + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "size": 3 }, "position": { - "x": 584, - "y": 608 + "x": 1832, + "y": -104 } }, { - "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "id": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", "type": "basic.outputLabel", "data": { - "name": "B0", - "range": "[3:0]", - "blockColor": "turquoise", - "size": 4 + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 }, "position": { - "x": 808, - "y": 616 + "x": 1200, + "y": -104 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 944, + "y": -72 + } + }, + { + "id": "afac67ea-64eb-4387-856b-46ad5d276971", "type": "basic.outputLabel", "data": { + "name": "cnt", + "range": "[2:0]", "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "size": 3 }, "position": { - "x": 808, - "y": 680 + "x": 2160, + "y": -72 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "type": "basic.output", "data": { - "name": "sel", - "clock": false + "name": "n", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 392, - "y": 768 + "x": 2312, + "y": -72 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "gold", + "name": "stop", "oldBlockColor": "fuchsia" }, "position": { - "x": 552, - "y": 768 + "x": 584, + "y": -24 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", "data": { - "info": "Channel B", - "readonly": true + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, "position": { - "x": 424, - "y": 512 - }, - "size": { - "width": 144, - "height": 32 + "x": 1472, + "y": 16 } }, { - "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "type": "952eda35358117b68b3f8a2489e9dc86168d0144", - "position": { - "x": 968, - "y": 568 + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 920, + "y": 24 } }, { - "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", - "type": "basic.info", + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", "data": { - "info": "Channel A", - "readonly": true + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, "position": { - "x": 424, - "y": 168 - }, - "size": { - "width": 144, - "height": 32 + "x": 2160, + "y": 104 } }, { - "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "type": "afb28fd5426aea14477d11cbe30a290679f789f8", - "position": { - "x": 1152, - "y": 432 + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2328, + "y": 104 } }, { - "id": "10dbbb33-fa47-4d9f-8723-170519859684", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": 376, - "y": 264 + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1544, + "y": 136 } }, { - "id": "9714e6fb-453b-435a-b124-72e5a30ff428", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", - "position": { - "x": 392, - "y": 592 + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 648, + "y": 152 } }, { - "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "type": "952eda35358117b68b3f8a2489e9dc86168d0144", - "position": { - "x": 960, - "y": 248 + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 1080, + "y": 168 } - } - ], - "wires": [ + }, { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "port": "inlabel" + "position": { + "x": 616, + "y": 208 } }, { - "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "position": { + "x": 1360, + "y": 216 } }, { - "source": { - "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", - "port": "outlabel" - }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "size": 4 + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "vertices": [ - { - "x": 928, - "y": 624 - } - ], - "size": 4 + "position": { + "x": 2160, + "y": 248 + } }, { - "source": { - "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", - "port": "outlabel" - }, - "target": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "size": 4 + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" }, - "size": 4 + "position": { + "x": 2320, + "y": 248 + } }, { - "source": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", - "port": "inlabel" + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "size": 4 + "position": { + "x": 1952, + "y": 288 + } }, { - "source": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "size": 4 - }, - "target": { - "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", - "port": "inlabel" + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "size": 4 + "position": { + "x": 1360, + "y": 288 + } }, { - "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "port": "outlabel" + "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "position": { + "x": 1680, + "y": 376 } }, { - "source": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", - "port": "inlabel" + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" }, - "size": 4 + "position": { + "x": 1144, + "y": 376 + } }, { - "source": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58", - "size": 4 - }, - "target": { - "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", - "port": "inlabel" + "id": "5324c14f-171f-4f3c-9455-4c3252223087", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 }, - "size": 4 + "position": { + "x": 688, + "y": 552 + } }, { - "source": { - "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", - "port": "outlabel" - }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "size": 4 + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", + "data": { + "name": "N", + "value": "8", + "local": false }, - "size": 4 + "position": { + "x": 680, + "y": 352 + } }, { - "source": { - "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", - "port": "outlabel" - }, - "target": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "size": 4 + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true }, - "size": 4 + "position": { + "x": 1352, + "y": -208 + } }, { - "source": { - "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c" - }, - "target": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true }, - "size": 4 + "position": { + "x": 1648, + "y": -256 + } }, { - "source": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 }, - "target": { - "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", - "port": "in" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1016, + "y": 376 }, - "size": 8 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "520455a3-592c-4fd0-ade9-62d366c88919", - "port": "out" + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 }, - "target": { - "block": "10dbbb33-fa47-4d9f-8723-170519859684", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "position": { + "x": 1824, + "y": 288 }, - "size": 8 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "e045f285-627f-42f7-b073-faa36ef6d420", - "port": "out" + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", + "data": { + "info": "**Reloj del sistema**", + "readonly": true }, - "target": { - "block": "9714e6fb-453b-435a-b124-72e5a30ff428", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + "position": { + "x": 680, + "y": -472 }, - "size": 8 + "size": { + "width": 192, + "height": 40 + } }, { - "source": { - "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", + "data": { + "info": "**Estado de** \n**la máquina**", + "readonly": true }, - "target": { - "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", - "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + "position": { + "x": 760, + "y": -248 }, - "vertices": [ - { - "x": 1088, - "y": 360 - } - ], - "size": 4 - } - ] - } - } - }, - "952eda35358117b68b3f8a2489e9dc86168d0144": { - "package": { - "name": "4-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (4-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 160, + "height": 40 + } + }, { - "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", - "type": "basic.outputLabel", + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A3", - "oldBlockColor": "fuchsia" + "info": "Máquina encendida", + "readonly": true }, "position": { - "x": 712, - "y": -72 + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", - "type": "basic.outputLabel", + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" + "info": "Máquina apagada \n(rst = 1)", + "readonly": true }, "position": { - "x": 712, - "y": 0 + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", - "type": "basic.outputLabel", + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Tic de arranque", + "readonly": true }, "position": { - "x": 712, - "y": 72 + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "type": "basic.outputLabel", + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "info": "Tic de fin", + "readonly": true }, "position": { - "x": 712, - "y": 160 + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "6776605f-93ce-4cae-8606-055bd2415b2d", - "type": "basic.inputLabel", + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "**Contador de ciclos**", + "readonly": true }, "position": { - "x": 432, - "y": 160 + "x": 1480, + "y": -424 + }, + "size": { + "width": 224, + "height": 40 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "type": "basic.inputLabel", + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "Número de ciclos \ncontados", + "readonly": true }, "position": { - "x": 440, - "y": 224 + "x": 1832, + "y": -144 + }, + "size": { + "width": 160, + "height": 56 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "type": "basic.outputLabel", + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "info": "Valor \nincrementado", + "readonly": true }, "position": { - "x": 712, - "y": 232 + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 } }, { - "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "type": "basic.input", + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "### Salidas", + "readonly": true }, "position": { - "x": 120, - "y": 240 + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", - "type": "basic.inputLabel", + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "info": "Estado de la máquina", + "readonly": true }, "position": { - "x": 432, - "y": 296 + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "type": "basic.outputLabel", + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Ciclo actual", + "readonly": true }, "position": { - "x": 712, - "y": 304 + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "Cuenta finalizada", + "readonly": true }, "position": { - "x": 416, - "y": 360 + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 } }, { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A1", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 712, - "y": 400 - } - }, - { - "id": "0c30aa42-915c-42e9-9179-f46349c9400c", - "type": "basic.output", + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "info": "Ejecutar el ciclo", + "readonly": true }, "position": { - "x": 1256, - "y": 416 + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "type": "basic.inputLabel", + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "turquoise" + "info": "Número de ciclos \na contar", + "readonly": true }, "position": { - "x": 456, - "y": 464 + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", - "type": "basic.outputLabel", + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true }, "position": { - "x": 712, - "y": 488 + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "type": "basic.inputLabel", + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "turquoise" + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true }, "position": { - "x": 464, - "y": 528 + "x": 648, + "y": 88 + }, + "size": { + "width": 216, + "height": 80 } }, { - "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "type": "basic.input", + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "Nuevo ciclo", + "readonly": true }, "position": { - "x": 120, - "y": 544 + "x": 920, + "y": 168 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "type": "basic.outputLabel", + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", + "readonly": true }, "position": { - "x": 712, - "y": 560 + "x": 1128, + "y": 304 + }, + "size": { + "width": 208, + "height": 72 } }, { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", - "type": "basic.inputLabel", + "id": "9baabc30-8c27-4b55-92e4-d59783269162", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "Apagar la \nmáquina", + "readonly": true }, "position": { - "x": 464, - "y": 592 + "x": 600, + "y": -64 + }, + "size": { + "width": 120, + "height": 56 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "type": "basic.outputLabel", + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A0" + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true }, "position": { - "x": 720, - "y": 648 + "x": 1464, + "y": -32 + }, + "size": { + "width": 208, + "height": 56 } }, { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true }, "position": { - "x": 432, - "y": 648 + "x": 1680, + "y": 440 + }, + "size": { + "width": 248, + "height": 72 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true }, "position": { - "x": 720, - "y": 720 + "x": 1688, + "y": 168 + }, + "size": { + "width": 208, + "height": 80 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", "data": { - "name": "sel", - "clock": false + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true }, "position": { - "x": 104, - "y": 728 + "x": 1408, + "y": 344 + }, + "size": { + "width": 256, + "height": 72 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "sel", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, + "id": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "type": "b40da6e557650abc55a25a2c69a6511959dc84e2", "position": { - "x": 264, - "y": 728 + "x": 856, + "y": 512 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 712, - "y": 800 - } - }, - { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 856, - "y": 472 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "type": "e81274ef9d736a1810cc627ec4f61d7016e01548", "position": { - "x": 864, - "y": 704 + "x": 680, + "y": 448 }, "size": { "width": 96, - "height": 96 - } - }, - { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", - "type": "basic.info", - "data": { - "info": "Channel A", - "readonly": true - }, - "position": { - "x": 304, - "y": 128 - }, - "size": { - "width": 144, - "height": 32 - } - }, - { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", - "data": { - "info": "Channel B", - "readonly": true - }, - "position": { - "x": 304, - "y": 480 - }, - "size": { - "width": 144, - "height": 32 + "height": 64 } }, { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "type": "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f", "position": { - "x": 856, - "y": 216 + "x": 1352, + "y": -104 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "type": "84f0a15761ee8b753f67079819a7614923939472", + "id": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "type": "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f", "position": { - "x": 1040, - "y": 384 + "x": 752, + "y": -168 }, "size": { "width": 96, @@ -12168,23 +11712,11 @@ } }, { - "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 856, - "y": -16 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "type": "94f5ce7eee54d456c6cfe8c626023547cbad8b21", "position": { - "x": 288, - "y": 512 + "x": 1648, + "y": -152 }, "size": { "width": 96, @@ -12192,616 +11724,527 @@ } }, { - "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 280, - "y": 208 + "x": 1520, + "y": 272 }, "size": { "width": 96, - "height": 128 + "height": 64 } } ], "wires": [ { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "port": "outlabel" }, "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "port": "inlabel" - } + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] }, { "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "port": "inlabel" } }, { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "port": "outlabel" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", + "port": "inlabel" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", "port": "inlabel" - } + }, + "vertices": [ + { + "x": 888, + "y": -216 + } + ] }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", - "port": "inlabel" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", + "port": "outlabel" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", - "port": "inlabel" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", "port": "inlabel" } }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "port": "outlabel" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" } }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "block": "a756b829-42d1-4779-b42d-a9acc3800854", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" } }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "block": "10afc859-04fd-433d-823a-4fa4721320c1", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "port": "outlabel" + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "port": "inlabel" + } }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "port": "outlabel" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "port": "inlabel" + } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "port": "outlabel" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "port": "inlabel" + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", "port": "inlabel" } }, { "source": { - "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "block": "40607400-436e-4dea-b733-8308a06fd4ef", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" + } }, { "source": { - "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" + } }, { "source": { - "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" }, - "vertices": [] + "target": { + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1472, + "y": 264 + } + ] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" }, "target": { - "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "port": "inlabel" + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "afac67ea-64eb-4387-856b-46ad5d276971", + "port": "outlabel" }, "target": { - "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "block": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "port": "in", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "5324c14f-171f-4f3c-9455-4c3252223087", + "port": "outlabel" + }, + "target": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", + "port": "outlabel" + }, + "target": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 3 + }, + "target": { + "block": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", "port": "inlabel" - } + }, + "size": 3 }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" }, - "vertices": [ - { - "x": 984, - "y": 472 - } - ] + "target": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + } }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6794a25e-2ed3-48af-b0a3-ab618c4c4db0", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, - "vertices": [ - { - "x": 976, - "y": 352 - } - ] + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "0c30aa42-915c-42e9-9179-f46349c9400c", - "port": "in" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" }, - "size": 4 + "target": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } }, { "source": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "port": "out" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + "block": "93275d0c-81c6-4ee2-8287-058e1f771ec7", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" } }, { "source": { - "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", "port": "out" }, "target": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0" }, - "size": 4 + "target": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0867b1bc-1cc5-4707-8ddb-40d0318d237c" + }, + "size": 3 }, { "source": { - "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "port": "out" + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55" }, "target": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "e1d23ba0-3e4d-4a17-b5b2-f18a3decaa4d", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" }, - "size": 4 + "size": 3 } ] } } }, - "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a" + "name": "" }, "position": { - "x": 456, - "y": 360 + "x": 64, + "y": 88 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 1096, - "y": 392 + "x": 784, + "y": 152 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "1", - "clock": false + "name": "" }, "position": { - "x": 136, - "y": 416 + "x": 64, + "y": 224 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 272, - "y": 416 + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 } - }, - { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 272, - "y": 528 - } - }, - { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", - "data": { - "name": "0", - "clock": false - }, - "position": { - "x": 136, - "y": 528 - } - }, - { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 616, - "y": 552 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": 376, - "y": 656 - } - }, - { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 952, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 600, - "y": 376 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 624, - "y": 656 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 784, - "y": 568 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, + } + ], + "wires": [ { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "vertices": [] - }, - { - "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + } } ] } } }, - "873425949b2a80f1a7f66f320796bcd068a59889": { + "81613874c6152f06c06ed7014bf4235900cfcc30": { "package": { - "name": "OR2", - "version": "1.0.2", - "description": "OR2: Two bits input OR gate", + "name": "OR", + "version": "1.0.1", + "description": "Puerta OR", "author": "Jesús Arroyo, Juan González", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, @@ -12815,8 +12258,8 @@ "name": "" }, "position": { - "x": 112, - "y": 40 + "x": 64, + "y": 88 } }, { @@ -12826,8 +12269,8 @@ "name": "" }, "position": { - "x": 608, - "y": 72 + "x": 784, + "y": 152 } }, { @@ -12837,15 +12280,15 @@ "name": "" }, "position": { - "x": 112, - "y": 96 + "x": 64, + "y": 224 } }, { "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "code": "//-- Puerta OR\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a | b;\n\n//-- endmodule", "params": [], "ports": { "in": [ @@ -12868,8 +12311,8 @@ "y": 48 }, "size": { - "width": 312, - "height": 104 + "width": 464, + "height": 272 } } ], @@ -12908,1391 +12351,1187 @@ } } }, - "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "b40da6e557650abc55a25a2c69a6511959dc84e2": { "package": { - "name": "AND2", - "version": "1.0.2", - "description": "Two bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Comparador de dos operandos", + "version": "0.1", + "description": "Comparador de dos operandos de 3 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 96, - "y": 56 + "x": 152, + "y": 104 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 600, - "y": 96 + "x": 616, + "y": 160 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "02895c3c-06cb-49d7-9e3f-012ee448d996", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 96, - "y": 128 + "x": 152, + "y": 200 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", "type": "basic.code", "data": { - "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "code": "assign eq = (a == b);", "params": [], "ports": { "in": [ { - "name": "a" + "name": "a", + "range": "[2:0]", + "size": 3 }, { - "name": "b" + "name": "b", + "range": "[2:0]", + "size": 3 } ], "out": [ { - "name": "c" + "name": "eq" } ] } }, "position": { - "x": 256, - "y": 48 + "x": 344, + "y": 160 }, "size": { - "width": 304, - "height": 152 + "width": 224, + "height": 64 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", + "block": "02895c3c-06cb-49d7-9e3f-012ee448d996", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", "port": "b" - } + }, + "size": 3 }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "port": "out" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 3 } ] } } }, - "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "e81274ef9d736a1810cc627ec4f61d7016e01548": { "package": { - "name": "NOT", - "version": "2.0", - "description": "NOT gate (Verilog implementation)", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Constante-3bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 3 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", + "id": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "type": "basic.output", "data": { - "name": "" + "name": "k", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 112, - "y": 72 + "x": 960, + "y": 248 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "name": "" + "name": "", + "value": "1", + "local": false }, "position": { - "x": 560, - "y": 72 + "x": 728, + "y": 112 } }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "//-- NOT Gate\nassign q = ~a;\n\n", - "params": [], + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], "ports": { - "in": [ - { - "name": "a" - } - ], + "in": [], "out": [ { - "name": "q" + "name": "k", + "range": "[2:0]", + "size": 3 } ] } }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 256, - "height": 104 - } - }, - { - "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", - "type": "basic.info", - "data": { - "info": "Input", - "readonly": true - }, - "position": { - "x": 128, - "y": 32 - }, - "size": { - "width": 80, - "height": 40 - } - }, - { - "id": "8408dd5f-945f-4a89-9790-7752813d4e91", - "type": "basic.info", - "data": { - "info": "Output", - "readonly": true - }, - "position": { - "x": 576, - "y": 40 + "x": 672, + "y": 248 }, "size": { - "width": 80, - "height": 40 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "q" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", "port": "in" - } + }, + "size": 3 } ] } } }, - "84f0a15761ee8b753f67079819a7614923939472": { + "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f": { "package": { - "name": "Bus4-Join-all", + "name": "sum-1op-3bits", "version": "0.1", - "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "description": "Sumador de un operando de 3 bits con una constante pasada como parámetro (No hay accarreo)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", - "type": "basic.input", - "data": { - "name": "3", - "clock": false - }, - "position": { - "x": 80, - "y": 64 - } - }, - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "id": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", "type": "basic.input", "data": { - "name": "2", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 80, - "y": 136 + "x": 208, + "y": 192 } }, { - "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "id": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", "type": "basic.output", "data": { "name": "", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 712, - "y": 200 - } - }, - { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false + "range": "[2:0]", + "size": 3 }, "position": { - "x": 80, - "y": 208 + "x": 672, + "y": 192 } }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", "data": { - "name": "0", - "clock": false + "name": "", + "value": "1", + "local": false }, "position": { - "x": 80, - "y": 272 + "x": 448, + "y": 96 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "assign o = {i3, i2, i1, i0};\n", - "params": [], + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], "ports": { "in": [ { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" + "name": "a", + "range": "[2:0]", + "size": 3 } ], "out": [ { - "name": "o", - "range": "[3:0]", - "size": 4 + "name": "s", + "range": "[2:0]", + "size": 3 } ] } }, "position": { - "x": 296, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 344, - "height": 104 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" } }, { "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - }, - "vertices": [ - { - "x": 200, - "y": 176 - } - ] - }, - { - "source": { - "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "block": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "vertices": [ - { - "x": 224, - "y": 128 - } - ] + "size": 3 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "block": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", "port": "in" }, - "size": 4 + "size": 3 } ] } } }, - "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f": { "package": { - "name": "Bus4-Split-all", - "version": "0.1", - "description": "Bus4-Split-all: Split the 4-bits bus into its wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Machine-state", + "version": "0.2", + "description": "Biestable de almacenamiento del estado de la máquina", + "author": "Juan Gonzalez-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22404.343%22%20width=%22319.18%22%20id=%22svg4%22%3E%3Cdefs%20id=%22defs8%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path898%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-53%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-91%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-2%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path974%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20d=%22M124.728%2079.637c-24.895%200-45.091%2020.196-45.091%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.049-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.979-15.575-19.578%208.04-9.938%2030.421-1.27%204.038h-28.41L98.85%20215.155%2079.27%20207.08l-28.514%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.37-9.92L0%20139.555v-28.393L34.362%2098.85l8.067-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L109.92%200h28.401l12.304%2034.38%2019.527%208.084%2028.523-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20id=%22path2%22%20fill=%22#00f%22%20stroke-width=%22.278%22/%3E%3Cg%20id=%22g992-5%22%20transform=%22matrix(3.23542%200%200%203.23542%20-54.399%20117.43)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22g1022%22%20transform=%22matrix(.5585%200%200%20.5585%2076.484%2043.495)%22%3E%3Cg%20transform=%22translate(3.47%203.198)%22%20id=%22layer1%22%3E%3Cpath%20id=%22path9-3%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path11%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20id=%22line17%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20id=%22path21-3%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path826%22%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20ry=%2219.847%22%20y=%223.671%22%20x=%2295.783%22%20height=%22135.189%22%20width=%22135.189%22%20id=%22rect845%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20id=%22path7-6%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20class=%22st2%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/g%3E%3Cg%20id=%22g992-5-7%22%20transform=%22matrix(3.23542%200%200%203.23542%20-57.653%2011.124)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62-5%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9-3%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "type": "basic.output", + "id": "046501b8-4427-4d4d-af97-7fe807774f33", + "type": "basic.input", "data": { - "name": "3" + "name": "", + "clock": true }, "position": { - "x": 576, - "y": 80 + "x": 408, + "y": -72 } }, { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", + "id": "05f62e09-33f9-435f-8355-d4529a75ab42", + "type": "basic.inputLabel", "data": { - "name": "2" + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 600, - "y": 144 + "x": 552, + "y": -72 } }, { - "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "type": "basic.input", + "id": "0d991cee-b329-439b-b9e7-5712d2db539d", + "type": "basic.output", "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "state" }, "position": { - "x": 128, - "y": 184 + "x": 960, + "y": 8 } }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "id": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", "type": "basic.output", "data": { - "name": "1" + "name": "rst" }, "position": { - "x": 592, - "y": 240 + "x": 960, + "y": 120 } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", + "id": "a83c9acd-2e13-413d-907f-7231924811d8", + "type": "basic.outputLabel", "data": { - "name": "0" + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 568, - "y": 296 + "x": 448, + "y": 216 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "2b16f62c-39ef-4e1c-aa83-f0d248bfecc8", + "type": "basic.outputLabel", "data": { - "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 + "x": 800, + "y": 216 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "aefe9248-3b3d-441b-9e65-33cda65b99dd", + "type": "basic.output", + "data": { + "name": "on" }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "position": { + "x": 1088, + "y": 288 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" + "position": { + "x": 424, + "y": 288 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "id": "ba15eacb-1e03-4580-932b-3231703481e5", + "type": "basic.input", + "data": { + "name": "stop", + "clock": false }, - "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" + "position": { + "x": 424, + "y": 352 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "id": "00a49b33-7325-4584-86d5-fbf8c73a8fab", + "type": "basic.outputLabel", + "data": { + "name": "clk", + "blockColor": "yellow" }, - "target": { - "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", - "port": "in" + "position": { + "x": 808, + "y": 368 } }, { - "source": { - "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 4 - } - ] - } - } - }, - "afb28fd5426aea14477d11cbe30a290679f789f8": { - "package": { - "name": "Bus8-Join-half", - "version": "0.1", - "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a1770adf-e143-4506-9d87-3cb9c870f534", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 + "id": "3802390d-8417-4369-b93c-dda647ccb0c6", + "type": "basic.output", + "data": { + "name": "off" }, "position": { - "x": 128, - "y": 168 + "x": 1080, + "y": 440 } }, { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "type": "basic.output", - "data": { - "name": "", - "range": "[7:0]", - "size": 8 - }, + "id": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", "position": { - "x": 640, - "y": 200 + "x": 736, + "y": 120 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "type": "basic.input", + "id": "42f19387-aa1f-4514-88aa-adca9bf9f03b", + "type": "basic.info", "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "**Tic de apagado**", + "readonly": true }, "position": { - "x": 128, - "y": 224 + "x": 1080, + "y": 424 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "eaab4880-4c6d-422f-a8ab-c06e579aa7c6", + "type": "basic.info", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } + "info": "**Tic de encendido**", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 1080, + "y": 272 }, "size": { - "width": 272, - "height": 104 + "width": 168, + "height": 40 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 }, { - "source": { - "block": "a1770adf-e143-4506-9d87-3cb9c870f534", - "port": "out" + "id": "4e85996b-c40e-4f84-bfbc-ff87d4bc425d", + "type": "basic.info", + "data": { + "info": "**Inicializar**", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "position": { + "x": 968, + "y": 96 }, - "size": 4 + "size": { + "width": 168, + "height": 40 + } }, { - "source": { - "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 4 - } - ] - } - } - }, - "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { - "package": { - "name": "Bus8-Split-half", - "version": "0.1", - "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "637e64f4-0a6b-4037-9a75-89397e078a58", - "type": "basic.output", + "id": "130f81c9-ce87-47a8-b393-762b31a724fc", + "type": "basic.info", "data": { - "name": "1", - "range": "[3:0]", - "size": 4 + "info": "**Estado de la máquina**", + "readonly": true }, "position": { - "x": 584, - "y": 104 + "x": 936, + "y": -16 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, + "id": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", "position": { - "x": 120, - "y": 208 + "x": 600, + "y": 272 + }, + "size": { + "width": 96, + "height": 96 } }, { - "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "type": "basic.output", - "data": { - "name": "0", - "range": "[3:0]", - "size": 4 - }, + "id": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", "position": { - "x": 584, - "y": 232 + "x": 928, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "o1", - "range": "[3:0]", - "size": 4 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, + "id": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "type": "3ae3bbe36b39edd47bc66dd6290af6cb6c47fa47", "position": { - "x": 272, - "y": 176 + "x": 944, + "y": 424 }, "size": { - "width": 240, - "height": 120 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "port": "out" }, "target": { - "block": "637e64f4-0a6b-4037-9a75-89397e078a58", - "port": "in" - }, - "size": 4 + "block": "05f62e09-33f9-435f-8355-d4529a75ab42", + "port": "inlabel" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "a83c9acd-2e13-413d-907f-7231924811d8", + "port": "outlabel" }, "target": { - "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", - "port": "in" - }, - "size": 4 - }, + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, { "source": { - "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", - "port": "out" + "block": "2b16f62c-39ef-4e1c-aa83-f0d248bfecc8", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 8 - } - ] - } - } - }, - "d8cb5af928591ff6b1ce2e5670dc1efbea1f9d9d": { - "package": { - "name": "Comp1-x03", - "version": "0.1", - "description": "Comp1-x03: Comparator of 3-bits operand and parameter", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618596618675 - }, - "design": { - "graph": { - "blocks": [ + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "00a49b33-7325-4584-86d5-fbf8c73a8fab", + "port": "outlabel" }, - "position": { - "x": 904, - "y": 344 + "target": { + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "2708468d-1088-4570-be63-fb0d4799a941" } }, { - "id": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "source": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 520, - "y": 408 + "target": { + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" } }, { - "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 520, - "y": 224 - } + "target": { + "block": "0d991cee-b329-439b-b9e7-5712d2db539d", + "port": "in" + }, + "vertices": [ + { + "x": 720, + "y": 144 + } + ] }, { - "id": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", - "position": { - "x": 520, - "y": 328 + "source": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", - "position": { - "x": 720, - "y": 344 + "source": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", + "port": "in" } - } - ], - "wires": [ + }, { "source": { - "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "port": "constant-out" + "block": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "port": "out" }, "target": { - "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "ba15eacb-1e03-4580-932b-3231703481e5", + "port": "out" }, - "vertices": [] + "target": { + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } }, { "source": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "1c4e8a3b-13ab-4364-9a0e-820f6a31ab95", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "aefe9248-3b3d-441b-9e65-33cda65b99dd", "port": "in" } }, { "source": { - "block": "b74b0c80-ef25-4fbc-87f7-7c96f98104e8", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "676afc2b-c0b0-4829-b192-7e64dde3d21d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5" + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, - "size": 3 + "vertices": [ + { + "x": 744, + "y": 368 + } + ] }, { "source": { - "block": "6799a5b2-3540-4bdb-9f27-1c93dc5ba722", - "port": "out" + "block": "4deefcf0-477f-40e1-af35-6e99a039cea8", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "b856dcfd-3bc4-405e-aa80-3ff0a3d54f7c", - "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" - }, - "size": 3 + "block": "3802390d-8417-4369-b93c-dda647ccb0c6", + "port": "in" + } } ] } } }, - "7b367d65207b1a2d3bb13af0fccc5d425d108259": { + "35f267d0df6ffcb7fc33753bc9df9cf083642cca": { "package": { - "name": "3-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 3-bits generic constant (0-7)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + "name": "NOT", + "version": "1.0.3", + "description": "Puerta NOT", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "50004d4c-3153-4049-88f7-bad5e748383b", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "name": "" }, "position": { - "x": 952, - "y": 248 + "x": 64, + "y": 144 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 128 + "x": 752, + "y": 144 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[2:0]", - "size": 3 + "name": "c" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 + "width": 400, + "height": 256 } } ], "wires": [ { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "c" }, "target": { - "block": "50004d4c-3153-4049-88f7-bad5e748383b", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 3 + } } ] } } }, - "18457bd686e8b20fc5d40286f87f8393f6e52a51": { + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { "package": { - "name": "comp2-3bits", - "version": "0.1", - "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 }, "design": { "graph": { "blocks": [ { - "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a2", - "oldBlockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 904, + "x": 240, "y": 0 } }, { - "id": "7979c265-4d7d-4368-b94a-3028a47786ed", - "type": "basic.inputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 672, - "y": 64 - } - }, - { - "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "name": "" }, "position": { - "x": 904, - "y": 72 + "x": 832, + "y": 120 } }, { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "type": "basic.inputLabel", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "set", + "clock": false }, "position": { - "x": 672, + "x": 232, "y": 120 } }, { - "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "reset", + "clock": false }, "position": { - "x": 360, - "y": 120 + "x": 232, + "y": 240 } }, { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "type": "basic.outputLabel", + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "a1" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 904, - "y": 176 + "x": 536, + "y": -144 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "type": "basic.inputLabel", + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "a0", - "pins": [ + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ { - "index": "0", - "name": "NULL", - "value": "NULL" + "name": "INI" } ], - "virtual": true + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 672, - "y": 176 + "x": 400, + "y": -32 + }, + "size": { + "width": 360, + "height": 360 } - }, + } + ], + "wires": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 1416, - "y": 232 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "b1", - "oldBlockColor": "fuchsia" + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" }, - "position": { - "x": 904, - "y": 248 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" } }, { - "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 680, - "y": 272 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" }, - "position": { - "x": 680, - "y": 328 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" } }, { - "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "source": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { + "package": { + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "clock": true }, "position": { - "x": 368, - "y": 328 + "x": 152, + "y": 152 } }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", - "type": "basic.outputLabel", + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "a0", - "oldBlockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 904, - "y": 344 + "x": 840, + "y": 160 } }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "type": "basic.inputLabel", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", "data": { - "blockColor": "deeppink", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "", + "clock": false }, "position": { - "x": 680, - "y": 384 + "x": 152, + "y": 280 } }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "type": "basic.outputLabel", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", "data": { - "blockColor": "deeppink", - "name": "b0", - "oldBlockColor": "fuchsia" + "name": "" }, "position": { - "x": 904, - "y": 416 + "x": 840, + "y": 400 } }, { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, "position": { - "x": 1056, - "y": 400 + "x": 176, + "y": -16 }, "size": { - "width": 96, - "height": 64 + "width": 568, + "height": 80 } }, { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, "position": { - "x": 1056, - "y": 232 + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 }, "size": { "width": 96, - "height": 64 + "height": 48 } }, { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", "type": "basic.info", "data": { - "info": "A", + "info": "Current signal \nstate", "readonly": true }, "position": { - "x": 408, - "y": 104 + "x": 328, + "y": 456 }, "size": { - "width": 80, - "height": 32 + "width": 168, + "height": 48 } }, { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "id": "ab801839-c115-4e44-adb7-349586890b97", "type": "basic.info", "data": { - "info": "B", + "info": "Signal state in the previous \nclock cycle", "readonly": true }, "position": { - "x": 408, - "y": 304 + "x": 328, + "y": 200 }, "size": { - "width": 80, - "height": 32 + "width": 248, + "height": 48 } }, { - "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, "position": { - "x": 528, - "y": 104 + "x": 728, + "y": 256 }, "size": { - "width": 96, + "width": 344, "height": 96 } }, { - "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, "position": { "x": 528, - "y": 312 + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "398207eb-18f3-43a4-b4e5-481480023848", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 1056, - "y": 56 + "x": 696, + "y": 400 }, "size": { "width": 96, @@ -14300,257 +13539,255 @@ } }, { - "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 1272, - "y": 216 + "x": 320, + "y": 264 }, "size": { "width": 96, - "height": 96 + "height": 64 } } ], "wires": [ { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "port": "inlabel" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "port": "inlabel" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "port": "inlabel" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "port": "outlabel" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "port": "outlabel" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } - }, + } + ] + } + } + }, + "3ae3bbe36b39edd47bc66dd6290af6cb6c47fa47": { + "package": { + "name": "Falling-edge-detector CLONE", + "version": "0.3-c1697559169818", + "description": "Falling-edge detector. It generates a 1-period pulse (tic) when a falling edge is detected on the input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%201.984v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.176l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", - "port": "outlabel" + "id": "2b563f82-937a-4a8e-92c0-d29e947d1cac", + "type": "basic.output", + "data": { + "name": "nc" }, - "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 712, + "y": 56 } }, { - "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "port": "outlabel" + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "position": { + "x": 88, + "y": 152 } }, { - "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "target": { - "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "port": "inlabel" + "position": { + "x": 88, + "y": 280 } }, { - "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "7979c265-4d7d-4368-b94a-3028a47786ed", - "port": "inlabel" + "position": { + "x": 688, + "y": 296 } }, { - "source": { - "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", - "port": "outlabel" + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Falling edge detector\n\nIt generates a 1-period pulse (tic) when a falling edge is detected on the \ninput signal", + "readonly": true }, - "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 104, + "y": -40 }, - "vertices": [] + "size": { + "width": 568, + "height": 80 + } }, { - "source": { - "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", - "port": "outlabel" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "position": { + "x": 96, + "y": 256 }, - "vertices": [] + "size": { + "width": 136, + "height": 40 + } }, { - "source": { - "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", - "port": "out" - }, - "target": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" - }, - "size": 3 - }, - { - "source": { - "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", - "port": "out" - }, - "target": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "size": 3 - }, - { - "source": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "position": { + "x": 104, + "y": 120 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "size": { + "width": 96, + "height": 48 } }, { - "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "position": { + "x": 192, + "y": 368 }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 168, + "height": 48 } }, { - "source": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" - } - } - ] - } - } - }, - "23b15b7d7a1bc7327fad365412864b15e4edf835": { - "package": { - "name": "comp2-1bit", - "version": "0.1", - "description": "Comp2-1bit: Comparator of two 1-bit numbers", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 368, - "y": 280 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "" + "info": "If the current signal is 0 and its value in \nthe previous clock cycle was 1, it means \nthat a falling edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 832, - "y": 296 + "x": 560, + "y": 136 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", - "type": "basic.input", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a falling edge \nand its detection", + "readonly": true }, "position": { - "x": 368, - "y": 344 + "x": 224, + "y": 488 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "8839b104-b532-421e-a2da-a1272176ff9c", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "id": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { "x": 544, "y": 296 @@ -14561,11 +13798,23 @@ } }, { - "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "id": "ffe5388b-d5a9-4c10-a091-472e212b4945", "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 680, - "y": 296 + "x": 312, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 }, "size": { "width": 96, @@ -14576,42 +13825,61 @@ "wires": [ { "source": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "dffad53f-6e57-4c33-8436-42e238b64966", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "2708468d-1088-4570-be63-fb0d4799a941", "port": "out" }, "target": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "port": "in" } }, { "source": { - "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "block": "ffe5388b-d5a9-4c10-a091-472e212b4945", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "2d9bc250-6e26-46b7-b580-18a611e9a27f", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "ffe5388b-d5a9-4c10-a091-472e212b4945", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb3c75b7-aa35-448f-bd35-28e6df0c68f3", "port": "97b51945-d716-4b6c-9db9-970d08541249" } } @@ -14619,1100 +13887,870 @@ } } }, - "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "94f5ce7eee54d456c6cfe8c626023547cbad8b21": { "package": { - "name": "XOR2", - "version": "1.0.2", - "description": "XOR gate: two bits input xor gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "03-Reg-rst", + "version": "0.8", + "description": "03-Reg-rst: 3 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 120, - "y": 48 + "x": 560, + "y": 64 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 560, + "x": 1184, "y": 72 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 120, - "y": 104 + "x": 1184, + "y": 144 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "name": "rst", + "clock": false }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" - }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "x": 560, + "y": 168 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[2:0]", + "size": 3 }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "position": { + "x": 1184, + "y": 224 } }, { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" - }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" - } - } - ] - } - } - }, - "9a279550d6cd93b170311188e39bffc553a4f512": { - "package": { - "name": "Bus3-Split-all", - "version": "0.1", - "description": "Bus3-Split-all: Split the 3-bits bus into three wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "33072210-9ba0-4659-8339-95952b939e6e", - "type": "basic.output", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "name": "2" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 552, - "y": 112 + "x": 560, + "y": 272 } }, { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "1" + "name": "load", + "clock": false }, "position": { - "x": 552, - "y": 184 + "x": 560, + "y": 376 } }, { - "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", - "type": "basic.input", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "nc" }, "position": { - "x": 144, - "y": 184 + "x": 1184, + "y": 376 } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "name": "0" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 552, - "y": 248 + "x": 864, + "y": -80 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "assign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], "ports": { "in": [ { - "name": "i", + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", "range": "[2:0]", "size": 3 + }, + { + "name": "load" } ], "out": [ { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" + "name": "q", + "range": "[2:0]", + "size": 3 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 3;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 296, - "y": 176 + "x": 720, + "y": 40 }, "size": { - "width": 208, - "height": 80 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" - } + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, "size": 3 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, "target": { - "block": "33072210-9ba0-4659-8339-95952b939e6e", - "port": "in" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } } ] } } }, - "ae245c856f7fbc64ed4d999ce91be2c16d5ba038": { + "5528a6b349a945fa8bb99c41ae93efd033c1c18d": { "package": { - "name": "AND3", - "version": "1.0.2", - "description": "Three bits input And gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "LCD-write-open-4bits", + "version": "0.5", + "description": "LCD-write-open-4-bits: Controlador de escritura, en bucle abierto, de un LCD con interfaz de 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22438.076%22%20height=%22365.454%22%20viewBox=%220%200%20115.90764%2096.692992%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.195%22%20y=%22135.949%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-47.487%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.195%22%20y=%22135.949%22%20font-weight=%22700%22%3EWrite%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1661596668464 }, "design": { "graph": { "blocks": [ { - "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 104, - "y": -40 + "x": 16, + "y": 192 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 552, - "y": 48 + "x": 168, + "y": 192 } }, { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", + "id": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", + "type": "basic.outputLabel", "data": { - "name": "" + "name": "busy1", + "blockColor": "navy" }, "position": { - "x": 96, - "y": 56 + "x": 2224, + "y": 216 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", + "type": "basic.output", "data": { - "name": "" + "name": "busy" }, "position": { - "x": 96, - "y": 128 + "x": 2504, + "y": 232 } }, { - "id": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 248, - "y": -24 + "id": "7edcb2e6-845a-4962-8ab0-641c69016f91", + "type": "basic.inputLabel", + "data": { + "name": "busy1", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1232, + "y": 280 } }, { - "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 408, - "y": 48 + "id": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 208, + "y": 280 } - } - ], - "wires": [ + }, { - "source": { - "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", - "port": "out" - }, - "target": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "86d9a58e-ca48-482d-ac27-4e74598bcf86", + "type": "basic.outputLabel", + "data": { + "name": "busy2", + "blockColor": "navy" + }, + "position": { + "x": 2224, + "y": 288 } }, { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "id": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1824, + "y": 304 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "rs", + "clock": false }, - "target": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 16, + "y": 344 } }, { - "source": { - "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "type": "basic.inputLabel", + "data": { + "name": "rs_r", + "blockColor": "fuchsia" }, - "target": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 488, + "y": 344 } }, { - "source": { - "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", + "type": "basic.inputLabel", + "data": { + "name": "n", + "blockColor": "fuchsia" }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "position": { + "x": 1256, + "y": 344 } - } - ] - } - } - }, - "ed50bc004101bfcc4a4c396b8e7aed50cde543ac": { - "package": { - "name": "Mayor-que-igual-1-op-3-bits", - "version": "0.2", - "description": "Comparador mayor que o igual, de un operando de 3 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.197%22%20height=%22366.876%22%20viewBox=%220%200%2088.95201%2097.069235%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2249.696%22%20y=%22150.143%22%20font-size=%22132.193%22%20stroke-width=%22.078%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3Ctspan%20x=%2249.696%22%20y=%22150.143%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.282%22%3E>%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22158.289%22%20y=%22125.35%22%20font-size=%2267.97%22%20stroke-width=%22.04%22%20transform=%22translate(-63.25%20-71.201)%22%3E%3C/text%3E%3Cpath%20d=%22M2.332%2090.88L86.62%2059.13%22%20stroke=%22#00f%22%20stroke-width=%2213.229%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "type": "basic.output", + "id": "32ea078b-5ccf-483a-94f1-796e824507af", + "type": "basic.inputLabel", "data": { - "name": "" + "name": "busy2", + "blockColor": "navy" }, "position": { - "x": 616, - "y": 160 + "x": 2128, + "y": 344 } }, { - "id": "3baedf81-c82e-4ab2-a5ee-86c786996c27", - "type": "basic.input", + "id": "03fc360b-deb7-410b-932d-6a0c1483e59a", + "type": "basic.outputLabel", "data": { - "name": "a", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 152, - "y": 160 + "x": 912, + "y": 352 } }, { - "id": "437fac17-b8b4-4d9f-8d03-27300b3b9466", - "type": "basic.constant", + "id": "cfcc0b47-61df-492d-a714-23f2a893f112", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "1", - "local": false + "name": "write", + "blockColor": "red" }, "position": { - "x": 408, - "y": 48 + "x": 216, + "y": 392 } }, { - "id": "9c811723-c900-4ceb-9989-036b071ee3fe", - "type": "basic.code", + "id": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", + "type": "basic.inputLabel", "data": { - "ports": { - "in": [ - { - "name": "a", - "range": "[2:0]", - "size": 3 - } - ], - "out": [ - { - "name": "eq" - } - ] - }, - "params": [ - { - "name": "B" - } - ], - "code": "assign eq = (a >= B);" + "name": "done", + "blockColor": "darkgreen" }, "position": { - "x": 344, - "y": 160 - }, - "size": { - "width": 224, - "height": 64 + "x": 2120, + "y": 408 } - } - ], - "wires": [ + }, { - "source": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "eq" + "id": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", + "type": "basic.inputLabel", + "data": { + "name": "exec", + "blockColor": "fuchsia" }, - "target": { - "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", - "port": "in" + "position": { + "x": 1256, + "y": 416 } }, { - "source": { - "block": "437fac17-b8b4-4d9f-8d03-27300b3b9466", - "port": "constant-out" + "id": "898c25f6-64df-45d5-bc9f-94412367953a", + "type": "basic.outputLabel", + "data": { + "name": "write", + "blockColor": "red" }, - "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "B" + "position": { + "x": 912, + "y": 416 } }, { - "source": { - "block": "3baedf81-c82e-4ab2-a5ee-86c786996c27", - "port": "out" - }, - "target": { - "block": "9c811723-c900-4ceb-9989-036b071ee3fe", - "port": "a" - }, - "size": 3 - } - ] - } - } - }, - "2ae6c6f4418c0c187b3627376225fc4f7442bc3b": { - "package": { - "name": "Bus8-Join-6-2", - "version": "0.1", - "description": "Bus8-Join-6-2: Join the two buses into an 8-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", - "type": "basic.input", + "id": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", + "type": "basic.outputLabel", "data": { - "name": "1", - "range": "[5:0]", - "clock": false, - "size": 6 + "name": "done1", + "blockColor": "darkgreen" }, "position": { - "x": 128, - "y": 136 + "x": 1816, + "y": 432 } }, { - "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "id": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "rs" }, "position": { - "x": 640, - "y": 200 + "x": 2496, + "y": 456 } }, { - "id": "d27f1697-8fc0-4125-9747-9691b098cb29", - "type": "basic.input", + "id": "0b152bec-c71e-42a7-956c-edc6b424e894", + "type": "basic.outputLabel", "data": { - "name": "0", - "range": "[1:0]", - "clock": false, - "size": 2 + "name": "rs_r", + "blockColor": "fuchsia" }, "position": { - "x": 136, - "y": 224 + "x": 2352, + "y": 456 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", + "type": "basic.outputLabel", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[5:0]", - "size": 6 - }, - { - "name": "i0", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } + "name": "next1", + "blockColor": "springgreen" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 272, - "height": 104 + "x": 912, + "y": 480 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", - "port": "in" - }, - "size": 8 }, { - "source": { - "block": "d27f1697-8fc0-4125-9747-9691b098cb29", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "id": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": 2 + "position": { + "x": 176, + "y": 488 + } }, { - "source": { - "block": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "id": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", + "type": "basic.inputLabel", + "data": { + "name": "done1", + "blockColor": "darkgreen" }, - "size": 6 - } - ] - } - } - }, - "a5ad63c4387b9d7a9548afddbc8a9787b9328790": { - "package": { - "name": "6-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 6-bits generic constant (0-63)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1232, + "y": 496 + } + }, { - "id": "72bdb170-f2b3-4c77-a258-db39a7bef38d", + "id": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", "type": "basic.output", "data": { - "name": "", - "range": "[5:0]", - "size": 6 + "name": "E" }, "position": { - "x": 944, - "y": 248 + "x": 2496, + "y": 536 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "E", + "blockColor": "fuchsia" }, "position": { - "x": 728, - "y": 128 + "x": 2352, + "y": 536 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "03e28265-5f95-42d6-8c5f-78975349b9c4", + "type": "basic.input", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[5:0]", - "size": 6 - } - ] - } + "name": "cmd", + "clock": false }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 + "x": 24, + "y": 552 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", + "type": "basic.inputLabel", + "data": { + "name": "cmd_r", + "blockColor": "fuchsia" }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 456, + "y": 552 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "72bdb170-f2b3-4c77-a258-db39a7bef38d", - "port": "in" + "id": "13281d99-cb79-42f7-9154-adffebe3cee1", + "type": "basic.inputLabel", + "data": { + "name": "E", + "blockColor": "fuchsia" }, - "size": 6 - } - ] - } - } - }, - "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { - "package": { - "name": "Bus2-Join-all", - "version": "0.1", - "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1720, + "y": 568 + } + }, { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", + "id": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "type": "basic.outputLabel", "data": { - "name": "1", - "clock": false + "name": "write", + "blockColor": "red" }, "position": { - "x": 112, - "y": 144 + "x": 176, + "y": 592 } }, { - "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", + "id": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", "type": "basic.output", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "RW" }, "position": { - "x": 584, - "y": 200 + "x": 2496, + "y": 624 } }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", + "id": "12de363e-fd6c-452a-9a94-67dccb675678", + "type": "basic.outputLabel", "data": { - "name": "0", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 112, - "y": 256 + "x": 1392, + "y": 632 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", + "type": "basic.outputLabel", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[1:0]", - "size": 2 - } - ] - } + "name": "exec", + "blockColor": "fuchsia" }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 + "x": 1256, + "y": 672 } - } - ], - "wires": [ + }, { - "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" + "id": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "position": { + "x": 1808, + "y": 672 } }, { - "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "id": "2a05841b-3123-4bbe-899a-46326eb04b58", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "position": { + "x": 24, + "y": 688 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "6b14d5741f7e62a08c61190175d6447b05954bbd": { - "package": { - "name": "1-bit-gen-constant", - "version": "0.0.2", - "description": "1-bit generic constant (0/1)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "id": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", "type": "basic.output", "data": { - "name": "" + "name": "D", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 960, - "y": 248 + "x": 2496, + "y": 720 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "data", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 }, "position": { - "x": 728, - "y": 128 + "x": 2352, + "y": 720 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "971101bb-8f08-4f78-bfea-840f1b628efb", + "type": "basic.inputLabel", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k" - } - ] - } + "name": "next1", + "blockColor": "springgreen" }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "x": 2096, + "y": 744 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", - "port": "in" - } - } - ] - } - } - }, - "370abb401e524efd3125464b094b41328bfde9b4": { - "package": { - "name": "DeMux-1-2", - "version": "0.1", - "description": "1-to-2 DeMultplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "id": "6edc0efe-9a68-434e-994d-9c4570f5e01d", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "name": "n", + "blockColor": "fuchsia" }, "position": { - "x": 744, - "y": 368 + "x": 960, + "y": 744 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 136, - "y": 416 + "x": 184, + "y": 800 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "name": "cmd", + "blockColor": "fuchsia" }, "position": { - "x": 272, - "y": 416 + "x": 1088, + "y": 824 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "id": "fe696950-4552-47bb-8027-c7a9928bde98", "type": "basic.output", "data": { - "name": "1" + "name": "done" }, "position": { - "x": 1032, - "y": 424 + "x": 2496, + "y": 832 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", + "id": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "sel", - "oldBlockColor": "fuchsia" + "name": "done", + "blockColor": "darkgreen" }, "position": { - "x": 272, - "y": 528 + "x": 2352, + "y": 832 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "id": "00289418-0491-4aa5-9cfd-6213c65a42db", "type": "basic.input", "data": { - "name": "sel", - "clock": false + "name": "din", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 136, - "y": 528 + "x": 24, + "y": 856 } }, { - "id": "6daf7ae7-6704-44ee-b291-2e8188102286", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "input", - "oldBlockColor": "fuchsia" + "id": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "type": "basic.inputLabel", + "data": { + "name": "data", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 }, "position": { - "x": 752, - "y": 544 + "x": 872, + "y": 872 } }, { - "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", - "type": "basic.output", + "id": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "type": "basic.outputLabel", "data": { - "name": "0" + "name": "write", + "blockColor": "red" }, "position": { - "x": 1040, - "y": 600 + "x": 184, + "y": 904 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "id": "ba501b69-1201-439c-902b-aa016a9c50d6", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "sel", - "oldBlockColor": "fuchsia" + "name": "n", + "blockColor": "fuchsia" }, "position": { - "x": 464, - "y": 648 + "x": 384, + "y": 960 } }, { - "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", + "type": "basic.input", + "data": { + "name": "write", + "clock": false + }, "position": { - "x": 888, - "y": 424 + "x": 32, + "y": 1032 + } + }, + { + "id": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", + "type": "basic.inputLabel", + "data": { + "name": "write", + "blockColor": "red" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 176, + "y": 1032 } }, { - "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12000000", + "local": false + }, "position": { - "x": 624, - "y": 648 + "x": 1528, + "y": 248 + } + }, + { + "id": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "5", + "local": false + }, + "position": { + "x": 1712, + "y": 264 + } + }, + { + "id": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 2352, + "y": 624 }, "size": { "width": 96, @@ -15720,234 +14758,267 @@ } }, { - "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "b2229d0a-2a9f-4aae-a5bf-4b3da4121a0a", + "type": "basic.info", + "data": { + "info": "Registrar la entrada rs, \npara que permanezca estable \ndurante todo el funcionamiento", + "readonly": true + }, "position": { - "x": 888, - "y": 600 + "x": 480, + "y": 272 }, "size": { - "width": 96, + "width": 272, "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "35138706-f2b6-45c0-95ac-608c9ae67561", + "type": "basic.info", + "data": { + "info": "Registrar el dato, \npara que permanezca estable \ndurante todo el funcionamiento", + "readonly": true }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" + "position": { + "x": 256, + "y": 728 + }, + "size": { + "width": 256, + "height": 64 } }, { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "id": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "type": "cd03feae103824c95f164b3d6e9ee634e331304a", + "position": { + "x": 728, + "y": 856 }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "size": { + "width": 96, + "height": 96 } }, { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "id": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 528, + "y": 856 }, - "target": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "6daf7ae7-6704-44ee-b291-2e8188102286", - "port": "outlabel" + "id": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 512, + "y": 960 }, - "target": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" + "id": "4324ff32-d664-44dc-a015-df00563b350c", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1720, + "y": 744 }, - "target": { - "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" - }, - "target": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "id": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1392, + "y": 744 }, - "vertices": [ - { - "x": 584, - "y": 544 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1232, + "y": 760 }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 1088, + "y": 744 }, - "target": { - "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", - "port": "in" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "4f34528f-02c0-4332-9ed9-ebfbf8f1af64", + "type": "basic.info", + "data": { + "info": "| n | cmd | sel |\n|----|-----|-----|\n| 0 | 0 | 1 |\n| 0 | 1 | 1 |\n| 1 | 0 | 0 |\n| 1 | 1 | 1 |\n", + "readonly": true }, - "target": { - "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1120, + "y": 896 + }, + "size": { + "width": 176, + "height": 120 } - } - ] - } - } - }, - "09be4222bca27dda5ca84bf0f48ba2c5c1df2122": { - "package": { - "name": "Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "type": "basic.outputLabel", + "id": "e1e787ec-486a-4f86-ab07-e9065d096544", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "a" + "info": "--> Caso especial", + "readonly": true }, "position": { - "x": 456, - "y": 360 + "x": 1280, + "y": 1000 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", + "id": "ad96067c-ce11-459a-b40c-8f87ce00d25e", + "type": "basic.info", "data": { - "name": "" + "info": "* n=0: Nibble más significativo seleccionado \n* n=1: Nibble menos significativo seleccionado", + "readonly": true }, "position": { - "x": 1096, - "y": 392 + "x": 680, + "y": 976 + }, + "size": { + "width": 424, + "height": 56 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "5ea960a4-32c0-403f-b96b-b37521275613", + "type": "basic.info", "data": { - "name": "1", - "clock": false + "info": "Máquina de contar: n=0, n=1 \n* Durante n=0 se envía el nibble más significativo \n* Durante n=1 se envía el menos significativo SI ES UN COMANDO normal (cmd=1). En \n caso de ser uno de inicialización durante n=1 no se envía nada", + "readonly": true }, "position": { - "x": 136, - "y": 416 + "x": 912, + "y": 152 + }, + "size": { + "width": 664, + "height": 88 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "type": "basic.inputLabel", + "id": "ed6e099a-955b-4e62-8b06-ab3c95c54073", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "a" + "info": "Esperar a que finalice el comando enviado \nanteriormente. El tiempo de espera debe ser \nel del COMANDO MÁS LENTO", + "readonly": true }, "position": { - "x": 272, - "y": 416 + "x": 1848, + "y": 232 + }, + "size": { + "width": 352, + "height": 72 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "type": "basic.inputLabel", + "id": "4c538f3e-41f0-49a8-a6e9-38ab2e50986b", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "info": "Ejecutar un ciclo en para cada nibble...\nCuando n es 1 y es un comando de \ninicializacion (cmd=0) entonces ese \nnibble NO SE MANDA", + "readonly": true }, "position": { - "x": 272, - "y": 528 + "x": 1448, + "y": 832 + }, + "size": { + "width": 328, + "height": 88 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", + "id": "424c7cf5-9429-42d9-8f51-b7a0507c3249", + "type": "basic.info", "data": { - "name": "0", - "clock": false + "info": "Este biestable D es necesario \npara evitar los bucles combinacionales ", + "readonly": true }, "position": { - "x": 136, - "y": 528 + "x": 1936, + "y": 824 + }, + "size": { + "width": 336, + "height": 64 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", - "type": "basic.outputLabel", + "id": "f9417f9d-e133-4f65-9505-07817dea56bd", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "info": "Cmd indica el tipo de comando:\n* cmd=0: Es un valor especial de \n inicializacion (Un único nibble)\n* cmd=1: Es un comando/dato normal \n (Formado por 2 nibbles)", + "readonly": true }, "position": { - "x": 616, - "y": 552 + "x": 568, + "y": 512 + }, + "size": { + "width": 328, + "height": 96 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, + "id": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 376, - "y": 656 + "x": 2360, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 952, - "y": 392 + "x": 1952, + "y": 728 }, "size": { "width": 96, @@ -15955,35 +15026,83 @@ } }, { - "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "type": "7a14f6c2a644698df43fed380c788d3db5f1da49", "position": { - "x": 600, - "y": 376 + "x": 1552, + "y": 648 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "type": "a9cd03ae467d8a8ae5c39a91ed8fd8478be8ba8d", "position": { - "x": 624, - "y": 656 + "x": 1064, + "y": 368 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "type": "8a23ffd0491010ff595493182b82c722bd6902d7", "position": { - "x": 784, - "y": 568 + "x": 344, + "y": 328 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "type": "8a23ffd0491010ff595493182b82c722bd6902d7", + "position": { + "x": 312, + "y": 536 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "type": "8d66187a7f8e3ffab330c0980473cb3427f8a5a6", + "position": { + "x": 320, + "y": 840 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "004fb528-358f-41ba-a654-f687ad3f659a", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 1960, + "y": 360 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 1688, + "y": 376 }, "size": { "width": 96, @@ -15994,1062 +15113,941 @@ "wires": [ { "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "block": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", "port": "outlabel" }, "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", + "port": "in" }, "vertices": [] }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", "port": "out" }, "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "block": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", "port": "inlabel" } }, { "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "block": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "port": "outlabel" }, "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "block": "fe696950-4552-47bb-8027-c7a9928bde98", + "port": "in" } }, { "source": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "port": "outlabel" }, "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" - }, - "vertices": [] + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } }, { "source": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "port": "outlabel" }, "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" + } }, { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "cfcc0b47-61df-492d-a714-23f2a893f112", + "port": "outlabel" }, "target": { - "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" }, "vertices": [] }, { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "port": "outlabel" }, "target": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" }, "vertices": [] }, { "source": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + "block": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "port": "inlabel" + } }, { "source": { - "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "0b152bec-c71e-42a7-956c-edc6b424e894", + "port": "outlabel" }, "target": { - "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - } - ] - } - } - }, - "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { - "package": { - "name": "bit-1", - "version": "0.2", - "description": "Constant bit 1", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 456, - "y": 120 + "block": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", + "port": "in" } }, { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 112 + "target": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" }, - "size": { - "width": 248, - "height": 80 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" + "block": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "port": "outlabel" }, "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "2b9b8c7b12f595d67c236787e6f8d9426571540d": { - "package": { - "name": "Bus3-Join-all", - "version": "0.1", - "description": "Bus3-Join-all: Joint three wires into a 3-bits Bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "type": "basic.input", - "data": { - "name": "2", - "clock": false + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" }, - "position": { - "x": 120, - "y": 120 - } + "vertices": [] }, { - "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "type": "basic.input", - "data": { - "name": "1", - "clock": false + "source": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 120, - "y": 200 - } - }, - { - "id": "a7b70668-43df-4c7f-8da5-7076008e97bd", - "type": "basic.output", - "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "target": { + "block": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", + "port": "inlabel" }, - "position": { - "x": 656, - "y": 200 - } + "vertices": [] }, { - "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "type": "basic.input", - "data": { - "name": "0", - "clock": false + "source": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c", + "size": 4 }, - "position": { - "x": 120, - "y": 272 - } + "target": { + "block": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "port": "inlabel" + }, + "vertices": [], + "size": 4 }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[2:0]", - "size": 3 - } - ] - } + "source": { + "block": "ba501b69-1201-439c-902b-aa016a9c50d6", + "port": "outlabel" }, - "position": { - "x": 296, - "y": 176 + "target": { + "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": { - "width": 272, - "height": 112 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", - "port": "out" + "block": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } + "block": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", + "port": "in", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", - "port": "out" + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } + "block": "13281d99-cb79-42f7-9154-adffebe3cee1", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "6edc0efe-9a68-434e-994d-9c4570f5e01d", + "port": "outlabel" }, "target": { - "block": "a7b70668-43df-4c7f-8da5-7076008e97bd", - "port": "in" + "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": 3 + "vertices": [] }, { "source": { - "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", - "port": "out" + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - } - } - ] - } - } - }, - "619049c51d21132600a99f750206d8efd256613a": { - "package": { - "name": "LCD-write-open-4bits", - "version": "0.2", - "description": "Controlador de escritura, en bucle abierto, de un LCD con interfaz de 4 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22438.076%22%20height=%22365.454%22%20viewBox=%220%200%20115.90764%2096.692992%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M115.47%2064.442c0%203.015-1.96%202.635-3.282%202.635H2.838c-1.322%200-2.4-1.094-2.4-4.108V3.047C.438.026%201.516.475%202.838.475h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M8.827%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2015.072h6.08v6.211h-6.08zm6.08%200h6.073v6.211H20.99zm6.082%200h6.064v6.211H27.07zm6.064%200h6.081v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2021.283h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2027.512h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2033.74h6.08v6.229h-6.08zm6.08%200h6.073v6.229H20.99zm6.082%200h6.064v6.229H27.07zm6.064%200h6.081v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2039.969h6.08v6.22h-6.08zm6.08%200h6.073v6.22H20.99zm6.082%200h6.064v6.22H27.07zm6.064%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M14.908%2046.189h6.08v6.228h-6.08zm6.08%200h6.073v6.228H20.99zm6.082%200h6.064v6.228H27.07zm6.064%200h6.081v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M8.827%2052.417h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22H20.99zm6.08%200h6.065v6.22H27.07zm6.065%200h6.081v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%208.843h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%208.843h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08zm6.081%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2015.072h6.073v6.211h-6.073zm-24.315%206.211h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2027.512h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2039.969h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M42.256%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M48.337%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M66.571%2046.189h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M42.256%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M48.337%2052.417h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M66.571%2052.417h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%208.843h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%208.843h6.072v6.22h-6.073zM99.992%208.843h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2015.072h6.072v6.211h-6.072zm6.072%200h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2015.072h6.072v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2015.072h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2021.283h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2021.283h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2021.283h6.08v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2027.512h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2027.512h6.08v6.228h-6.08zM75.685%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2033.74h6.072v6.229h-6.072zm6.072%200h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2033.74h6.08v6.229h-6.08zM75.685%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2039.969h6.072v6.22h-6.072zm6.072%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M99.992%2039.969h6.08v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M81.766%2046.189h6.072v6.228h-6.072zm6.072%200h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M93.92%2046.189h6.072v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M99.992%2046.189h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M75.685%2052.417h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072zm6.073%200h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M93.92%2052.417h6.072v6.22h-6.073zM99.992%2052.417h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.195%22%20y=%22135.949%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-47.487%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.195%22%20y=%22135.949%22%20font-weight=%22700%22%3EWrite%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1661596668464 - }, - "design": { - "graph": { - "blocks": [ + "block": "971101bb-8f08-4f78-bfea-840f1b628efb", + "port": "inlabel" + }, + "vertices": [] + }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", + "port": "outlabel" }, - "position": { - "x": 16, - "y": 192 - } + "target": { + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 192 - } + "target": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] }, { - "id": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", - "type": "basic.outputLabel", - "data": { - "name": "busy1", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "12de363e-fd6c-452a-9a94-67dccb675678", + "port": "outlabel" }, - "position": { - "x": 2224, - "y": 216 + "target": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", - "type": "basic.output", - "data": { - "name": "busy" + "source": { + "block": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", + "port": "outlabel" }, - "position": { - "x": 2504, - "y": 232 + "target": { + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { - "id": "7edcb2e6-845a-4962-8ab0-641c69016f91", - "type": "basic.inputLabel", - "data": { - "name": "busy1", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", + "port": "outlabel" }, - "position": { - "x": 1232, - "y": 280 - } + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + }, + "vertices": [] }, { - "id": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0" }, - "position": { - "x": 208, - "y": 280 - } + "target": { + "block": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "86d9a58e-ca48-482d-ac27-4e74598bcf86", - "type": "basic.outputLabel", - "data": { - "name": "busy2", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" }, - "position": { - "x": 2224, - "y": 288 - } + "target": { + "block": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rs", - "clock": false + "source": { + "block": "898c25f6-64df-45d5-bc9f-94412367953a", + "port": "outlabel" }, - "position": { - "x": 16, - "y": 344 + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", - "type": "basic.inputLabel", - "data": { - "name": "rs_r", - "blockColor": "fuchsia" + "source": { + "block": "03fc360b-deb7-410b-932d-6a0c1483e59a", + "port": "outlabel" }, - "position": { - "x": 488, - "y": 344 + "target": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", - "type": "basic.inputLabel", - "data": { - "name": "n", - "blockColor": "fuchsia" + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1256, - "y": 344 + "target": { + "block": "7edcb2e6-845a-4962-8ab0-641c69016f91", + "port": "inlabel" } }, { - "id": "32ea078b-5ccf-483a-94f1-796e824507af", - "type": "basic.inputLabel", - "data": { - "name": "busy2", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "9d0fd478-b630-4772-8f2f-12b84d96c8af", + "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" }, - "position": { - "x": 2096, - "y": 344 + "target": { + "block": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", + "port": "inlabel" } }, { - "id": "03fc360b-deb7-410b-932d-6a0c1483e59a", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", + "port": "outlabel" }, - "position": { - "x": 912, - "y": 352 + "target": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "86d9a58e-ca48-482d-ac27-4e74598bcf86", + "port": "outlabel" }, - "position": { - "x": 1776, - "y": 360 + "target": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "cfcc0b47-61df-492d-a714-23f2a893f112", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, - "position": { - "x": 216, - "y": 392 + "target": { + "block": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", + "port": "inlabel" } }, { - "id": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", - "type": "basic.inputLabel", - "data": { - "name": "exec", - "blockColor": "fuchsia" + "source": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1256, - "y": 416 + "target": { + "block": "32ea078b-5ccf-483a-94f1-796e824507af", + "port": "inlabel" } }, { - "id": "898c25f6-64df-45d5-bc9f-94412367953a", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", + "port": "outlabel" }, - "position": { - "x": 912, - "y": 416 + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" } }, { - "id": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", - "type": "basic.inputLabel", - "data": { - "name": "done", - "blockColor": "darkgreen" + "source": { + "block": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", + "port": "outlabel" }, - "position": { - "x": 2064, - "y": 432 + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { - "id": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", - "type": "basic.outputLabel", - "data": { - "name": "done1", - "blockColor": "darkgreen" + "source": { + "block": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, - "position": { - "x": 1776, - "y": 432 - } + "target": { + "block": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", + "port": "in" + }, + "vertices": [] }, { - "id": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", - "type": "basic.output", - "data": { - "name": "rs" + "source": { + "block": "00289418-0491-4aa5-9cfd-6213c65a42db", + "port": "out" }, - "position": { - "x": 2496, - "y": 456 - } + "target": { + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb" + }, + "size": 8 }, { - "id": "0b152bec-c71e-42a7-956c-edc6b424e894", - "type": "basic.outputLabel", - "data": { - "name": "rs_r", - "blockColor": "fuchsia" + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, - "position": { - "x": 2352, - "y": 456 + "target": { + "block": "3b98c1d2-cb07-4a65-bcc0-a969d03af42c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", - "type": "basic.outputLabel", - "data": { - "name": "next1", - "blockColor": "springgreen" + "source": { + "block": "03e28265-5f95-42d6-8c5f-78975349b9c4", + "port": "out" }, - "position": { - "x": 912, - "y": 480 + "target": { + "block": "668d0e76-c17f-4440-9d9f-a46419eb75b3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58" }, - "position": { - "x": 176, - "y": 488 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d" + }, + "vertices": [], + "size": 4 }, { - "id": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", - "type": "basic.inputLabel", - "data": { - "name": "done1", - "blockColor": "darkgreen" + "source": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" }, - "position": { - "x": 1232, - "y": 496 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5" + }, + "vertices": [], + "size": 4 }, { - "id": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", - "type": "basic.output", - "data": { - "name": "E" + "source": { + "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 2496, - "y": 536 - } + "target": { + "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [ + { + "x": 648, + "y": 952 + } + ] }, { - "id": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", - "type": "basic.outputLabel", - "data": { - "name": "E", - "blockColor": "fuchsia" + "source": { + "block": "6f846a22-d02c-48c1-9439-8c1b36e0c3fd", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, - "position": { - "x": 2352, - "y": 536 - } + "target": { + "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "03e28265-5f95-42d6-8c5f-78975349b9c4", - "type": "basic.input", - "data": { - "name": "cmd", - "clock": false + "source": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" }, - "position": { - "x": 24, - "y": 552 - } + "target": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", - "type": "basic.inputLabel", - "data": { - "name": "cmd_r", - "blockColor": "fuchsia" + "source": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, - "position": { - "x": 456, - "y": 552 - } + "target": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + }, + "vertices": [] }, { - "id": "13281d99-cb79-42f7-9154-adffebe3cee1", - "type": "basic.inputLabel", - "data": { - "name": "E", - "blockColor": "fuchsia" + "source": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, - "position": { - "x": 1720, - "y": 568 - } + "target": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { - "id": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", - "type": "basic.outputLabel", - "data": { - "name": "write", - "blockColor": "red" + "source": { + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 176, - "y": 592 - } + "target": { + "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] }, { - "id": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", - "type": "basic.output", - "data": { - "name": "RW" + "source": { + "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 2496, - "y": 624 - } + "target": { + "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { - "id": "12de363e-fd6c-452a-9a94-67dccb675678", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "4324ff32-d664-44dc-a015-df00563b350c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1392, - "y": 632 - } + "target": { + "block": "dce51595-2522-4233-9cf3-4084fe8f0fe9", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] }, { - "id": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", - "type": "basic.outputLabel", - "data": { - "name": "exec", - "blockColor": "fuchsia" + "source": { + "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "port": "constant-out" }, - "position": { - "x": 1256, - "y": 672 + "target": { + "block": "d714cd7a-d09e-44c6-a340-68ca3384b4d3", + "port": "045df3aa-4fcf-49bc-8799-99cbe403ee17" } }, { - "id": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1808, - "y": 672 + "target": { + "block": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", + "port": "in" } }, { - "id": "2a05841b-3123-4bbe-899a-46326eb04b58", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false + "source": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" }, - "position": { - "x": 24, - "y": 688 - } + "target": { + "block": "004fb528-358f-41ba-a654-f687ad3f659a", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c" + }, + "size": 32 }, { - "id": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "type": "basic.output", - "data": { - "name": "D", - "range": "[3:0]", - "size": 4 + "source": { + "block": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", + "port": "constant-out" }, - "position": { - "x": 2496, - "y": 720 + "target": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" } }, { - "id": "ece94612-a00f-4f4f-a719-88e26d3115d5", + "source": { + "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", + "port": "constant-out" + }, + "target": { + "block": "08cc3ed1-6bfb-4471-a1c3-bb292bc770c7", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + } + ] + } + } + }, + "cd03feae103824c95f164b3d6e9ee634e331304a": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", "type": "basic.outputLabel", "data": { - "name": "data", - "range": "[3:0]", - "blockColor": "navy", - "size": 4 + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2352, - "y": 720 + "x": 712, + "y": -72 } }, { - "id": "971101bb-8f08-4f78-bfea-840f1b628efb", - "type": "basic.inputLabel", + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", "data": { - "name": "next1", - "blockColor": "springgreen" + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 2096, - "y": 744 + "x": 712, + "y": 0 } }, { - "id": "6edc0efe-9a68-434e-994d-9c4570f5e01d", + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", "type": "basic.outputLabel", "data": { - "name": "n", - "blockColor": "fuchsia" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 960, - "y": 744 + "x": 712, + "y": 72 } }, { - "id": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "fuchsia", + "name": "A2", "oldBlockColor": "fuchsia" }, "position": { - "x": 184, - "y": 800 + "x": 712, + "y": 160 } }, { - "id": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", - "type": "basic.outputLabel", + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", "data": { - "name": "cmd", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1088, - "y": 824 + "x": 432, + "y": 160 } }, { - "id": "fe696950-4552-47bb-8027-c7a9928bde98", - "type": "basic.output", + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", "data": { - "name": "done" + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2496, - "y": 832 + "x": 440, + "y": 224 } }, { - "id": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "darkgreen" + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, "position": { - "x": 2352, - "y": 832 + "x": 712, + "y": 232 } }, { - "id": "00289418-0491-4aa5-9cfd-6213c65a42db", + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", "type": "basic.input", "data": { - "name": "din", - "range": "[7:0]", + "name": "1", + "range": "[3:0]", "clock": false, - "size": 8 + "size": 4 }, "position": { - "x": 24, - "y": 856 + "x": 120, + "y": 240 } }, { - "id": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", "type": "basic.inputLabel", "data": { - "name": "data", - "range": "[3:0]", - "blockColor": "navy", - "size": 4 + "blockColor": "fuchsia", + "name": "A1" }, "position": { - "x": 872, - "y": 872 + "x": 432, + "y": 296 } }, { - "id": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", "type": "basic.outputLabel", "data": { - "name": "write", - "blockColor": "red" + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 184, - "y": 904 + "x": 712, + "y": 304 } }, { - "id": "ba501b69-1201-439c-902b-aa016a9c50d6", - "type": "basic.outputLabel", + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", "data": { - "name": "n", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "A0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 384, - "y": 960 + "x": 416, + "y": 360 } }, { - "id": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", - "type": "basic.input", + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", "data": { - "name": "write", - "clock": false + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 32, - "y": 1032 + "x": 712, + "y": 400 } }, { - "id": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", - "type": "basic.inputLabel", + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", "data": { - "name": "write", - "blockColor": "red" + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 176, - "y": 1032 + "x": 1256, + "y": 416 } }, { - "id": "3ef4478a-c7bc-42d3-8288-3c787548347e", - "type": "basic.constant", + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", "data": { - "name": "Fsys", - "value": "12000000", - "local": false + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" }, "position": { - "x": 1528, - "y": 528 - } + "x": 456, + "y": 464 + } }, { - "id": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", - "type": "basic.constant", + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", "data": { - "name": "ms", - "value": "5", - "local": false + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" }, "position": { - "x": 1928, - "y": 320 + "x": 712, + "y": 488 } }, { - "id": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 2352, - "y": 624 + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 464, + "y": 528 } }, { - "id": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "type": "56d27471f271f95a5d5eb660f7745d5d9329ab02", + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, "position": { - "x": 320, - "y": 840 + "x": 120, + "y": 544 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 712, + "y": 560 } }, { - "id": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "type": "8284c1dda332813a849c5ba350042fae0ffffbbc", + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "fuchsia" + }, "position": { - "x": 344, - "y": 328 + "x": 464, + "y": 592 + } + }, + { + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 720, + "y": 648 } }, { - "id": "b2229d0a-2a9f-4aae-a5bf-4b3da4121a0a", - "type": "basic.info", + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", "data": { - "info": "Registrar la entrada rs, \npara que permanezca estable \ndurante todo el funcionamiento", - "readonly": true + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 272 + "x": 432, + "y": 648 + } + }, + { + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 272, - "height": 64 + "position": { + "x": 720, + "y": 720 } }, { - "id": "35138706-f2b6-45c0-95ac-608c9ae67561", - "type": "basic.info", + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", "data": { - "info": "Registrar el dato, \npara que permanezca estable \ndurante todo el funcionamiento", - "readonly": true + "name": "sel", + "clock": false }, "position": { - "x": 256, + "x": 104, "y": 728 - }, - "size": { - "width": 256, - "height": 64 } }, { - "id": "7320dcc7-2594-4281-b247-eca116cd825c", - "type": "8284c1dda332813a849c5ba350042fae0ffffbbc", + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, "position": { - "x": 312, - "y": 536 + "x": 264, + "y": 728 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 712, + "y": 800 } }, { - "id": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "type": "cd03feae103824c95f164b3d6e9ee634e331304a", + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 728, - "y": 856 + "x": 856, + "y": 472 }, "size": { "width": 96, @@ -17057,2244 +16055,1974 @@ } }, { - "id": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 528, - "y": 856 + "x": 864, + "y": 704 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, "position": { - "x": 512, - "y": 960 + "x": 304, + "y": 128 }, "size": { - "width": 96, - "height": 64 + "width": 144, + "height": 32 } }, { - "id": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "type": "2e6e3c0a3394cfbe15d739c75bdd7911331e95a2", + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, "position": { - "x": 1552, - "y": 648 + "x": 304, + "y": 480 }, "size": { - "width": 96, - "height": 128 + "width": 144, + "height": 32 } }, { - "id": "4324ff32-d664-44dc-a015-df00563b350c", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1720, - "y": 744 + "x": 856, + "y": 216 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "type": "370abb401e524efd3125464b094b41328bfde9b4", + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1392, - "y": 744 + "x": 1040, + "y": 384 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 1232, - "y": 760 + "x": 856, + "y": -16 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1088, - "y": 744 + "x": 288, + "y": 512 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "type": "85dc1f75bb2706cba6e6c504fec08ea7c5195798", + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1952, - "y": 728 + "x": 280, + "y": 208 }, "size": { "width": 96, - "height": 64 + "height": 128 } - }, + } + ], + "wires": [ { - "id": "4f34528f-02c0-4332-9ed9-ebfbf8f1af64", - "type": "basic.info", - "data": { - "info": "| n | cmd | sel |\n|----|-----|-----|\n| 0 | 0 | 1 |\n| 0 | 1 | 1 |\n| 1 | 0 | 0 |\n| 1 | 1 | 1 |\n", - "readonly": true - }, - "position": { - "x": 1120, - "y": 896 - }, - "size": { - "width": 176, - "height": 120 - } - }, - { - "id": "e1e787ec-486a-4f86-ab07-e9065d096544", - "type": "basic.info", - "data": { - "info": "--> Caso especial", - "readonly": true - }, - "position": { - "x": 1280, - "y": 1000 - }, - "size": { - "width": 168, - "height": 40 - } - }, - { - "id": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "type": "f91a2729e24bdc5bb7370785202c65c6526d7a01", - "position": { - "x": 1928, - "y": 416 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "type": "5130094eb1e58828b8c072275acd105cee6a71ac", - "position": { - "x": 1064, - "y": 368 - }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "ad96067c-ce11-459a-b40c-8f87ce00d25e", - "type": "basic.info", - "data": { - "info": "* n=0: Nibble más significativo seleccionado \n* n=1: Nibble menos significativo seleccionado", - "readonly": true - }, - "position": { - "x": 680, - "y": 976 + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" }, - "size": { - "width": 424, - "height": 56 + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" } }, { - "id": "5ea960a4-32c0-403f-b96b-b37521275613", - "type": "basic.info", - "data": { - "info": "Máquina de contar: n=0, n=1 \n* Durante n=0 se envía el nibble más significativo \n* Durante n=1 se envía el menos significativo SI ES UN COMANDO normal (cmd=1). En \n caso de ser uno de inicialización durante n=1 no se envía nada", - "readonly": true - }, - "position": { - "x": 912, - "y": 152 + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" }, - "size": { - "width": 664, - "height": 88 + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "ed6e099a-955b-4e62-8b06-ab3c95c54073", - "type": "basic.info", - "data": { - "info": "Esperar a que finalice el comando enviado \nanteriormente. El tiempo de espera debe ser \nel del COMANDO MÁS LENTO", - "readonly": true - }, - "position": { - "x": 1808, - "y": 232 + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" }, - "size": { - "width": 352, - "height": 72 + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" } }, { - "id": "4c538f3e-41f0-49a8-a6e9-38ab2e50986b", - "type": "basic.info", - "data": { - "info": "Ejecutar un ciclo en para cada nibble...\nCuando n es 1 y es un comando de \ninicializacion (cmd=0) entonces ese \nnibble NO SE MANDA", - "readonly": true - }, - "position": { - "x": 1448, - "y": 832 + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 328, - "height": 88 + "target": { + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "port": "inlabel" } }, { - "id": "424c7cf5-9429-42d9-8f51-b7a0507c3249", - "type": "basic.info", - "data": { - "info": "Este biestable D es necesario \npara evitar los bucles combinacionales ", - "readonly": true - }, - "position": { - "x": 1936, - "y": 824 + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 336, - "height": 64 + "target": { + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "port": "inlabel" } }, { - "id": "f9417f9d-e133-4f65-9505-07817dea56bd", - "type": "basic.info", - "data": { - "info": "Cmd indica el tipo de comando:\n* cmd=0: Es un valor especial de \n inicializacion (Un único nibble)\n* cmd=1: Es un comando/dato normal \n (Formado por 2 nibbles)", - "readonly": true - }, - "position": { - "x": 568, - "y": 512 + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 328, - "height": 96 + "target": { + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "port": "inlabel" } }, - { - "id": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 2360, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "ba1f7a32-dac8-4e8a-a755-2359735f0ec8", + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", "port": "outlabel" }, "target": { - "block": "bdbaf9de-f627-41d1-a915-6b9c420deb8d", - "port": "in" - }, - "vertices": [] + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "e8f630fa-6c92-4e09-9c62-9cdb2c4af852", - "port": "out" + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" }, "target": { - "block": "66d6b899-eeed-42d4-a75e-ff5ab26d6ad9", - "port": "inlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "70e266d3-1d21-40c4-bd23-f05d89431eb1", + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", "port": "outlabel" }, "target": { - "block": "fe696950-4552-47bb-8027-c7a9928bde98", - "port": "in" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "8efc760f-3371-47f0-a681-e30e5b2d681b", + "block": "ea1e0257-805a-4562-9264-66dd07a08022", "port": "outlabel" }, "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "4932e1f7-13c1-43c4-b358-1e554f295e5c", + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", "port": "outlabel" }, "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] }, { "source": { - "block": "cfcc0b47-61df-492d-a714-23f2a893f112", + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", "port": "outlabel" }, "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "b8a7b985-f48c-4a04-8f79-02757a7fe9d1", + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", "port": "outlabel" }, "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "af45b08b-4029-4c80-bcaa-2f2d22191e5c", + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", "port": "inlabel" } }, { "source": { - "block": "0b152bec-c71e-42a7-956c-edc6b424e894", - "port": "outlabel" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "a81dee71-d8e7-40df-9ef5-d086b07ab7e7", - "port": "in" + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "port": "inlabel" } }, { "source": { - "block": "c56fb569-5d82-4f80-9284-5bbbb61bc5dd", + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", "port": "outlabel" }, "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" }, "vertices": [] }, { "source": { - "block": "af1f9f43-8bf4-4407-b7cf-aa0a362b2b86", + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", "port": "outlabel" }, "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [] }, { "source": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "port": "outlabel" }, "target": { - "block": "ae8d4d21-9dce-49c8-ac0f-5af72f0a0cbe", - "port": "inlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" }, "vertices": [] }, { "source": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "0c30aa42-915c-42e9-9179-f46349c9400c", - "size": 4 + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "7e4c5b01-4f95-4789-9e97-2eb007e1923f", + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", "port": "inlabel" - }, - "vertices": [], - "size": 4 - }, - { - "source": { - "block": "ba501b69-1201-439c-902b-aa016a9c50d6", - "port": "outlabel" - }, - "target": { - "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "ece94612-a00f-4f4f-a719-88e26d3115d5", - "port": "outlabel" - }, - "target": { - "block": "a3d15ea3-9bfc-4807-acc8-6fefe2afe6ac", - "port": "in", - "size": 4 - }, - "size": 4 + } }, { "source": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "13281d99-cb79-42f7-9154-adffebe3cee1", + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "6edc0efe-9a68-434e-994d-9c4570f5e01d", - "port": "outlabel" + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" }, - "vertices": [] + "vertices": [ + { + "x": 984, + "y": 472 + } + ] }, { "source": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "971101bb-8f08-4f78-bfea-840f1b628efb", - "port": "inlabel" - }, - "vertices": [] + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } }, { "source": { - "block": "6798021e-ba0a-4bf0-94c2-5b29555fcc28", - "port": "outlabel" + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "vertices": [] + "vertices": [ + { + "x": 976, + "y": 352 + } + ] }, { "source": { - "block": "9f8fb0b1-4ce4-4a2d-b3ba-83d7dca2133c", - "port": "outlabel" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, "target": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "12de363e-fd6c-452a-9a94-67dccb675678", - "port": "outlabel" + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" }, - "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "size": 4 }, { "source": { - "block": "7ab8d31c-d9bb-4a49-87f2-ab3cd1d1c8c2", - "port": "outlabel" + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { "source": { - "block": "e48142a3-7a8a-4f00-a288-e50d4d0f1eb5", - "port": "outlabel" + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" }, "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0" + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" }, "target": { - "block": "f9b2f1bf-7bf6-4a21-a725-7ce9e40e3171", - "port": "inlabel" + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] - }, + "size": 4 + } + ] + } + } + }, + "7a14f6c2a644698df43fed380c788d3db5f1da49": { + "package": { + "name": "LCD-cycle-ns", + "version": "0.8", + "description": "LCD-cycle-ns: Generar un ciclo en la señal E del LCD", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", + "otid": 1658901385846 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" - }, - "target": { - "block": "b7be1236-bcc4-4a49-b412-b4828d7fae6d", - "port": "inlabel" + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "vertices": [] + "position": { + "x": 288, + "y": -184 + } }, { - "source": { - "block": "898c25f6-64df-45d5-bc9f-94412367953a", - "port": "outlabel" + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 440, + "y": -184 } }, { - "source": { - "block": "03fc360b-deb7-410b-932d-6a0c1483e59a", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" }, - "target": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1608, + "y": -168 } }, { - "source": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "target": { - "block": "439d6b2c-593d-449e-b8fc-fc78e56b94ff", - "port": "inlabel" + "position": { + "x": 1464, + "y": -168 } }, { - "source": { - "block": "dc9d6f84-3a61-4d7a-a597-a978cbe017bd", - "port": "outlabel" + "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6" + "position": { + "x": 288, + "y": -80 } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "type": "basic.output", + "data": { + "name": "E" }, - "target": { - "block": "7edcb2e6-845a-4962-8ab0-641c69016f91", - "port": "inlabel" + "position": { + "x": 1616, + "y": -72 } }, { - "source": { - "block": "7ef7aa86-adbe-429f-86cc-43b9e9c76b4d", - "port": "outlabel" + "id": "a79604fd-0250-4441-a526-65bed00007ba", + "type": "basic.outputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "61d11f03-6fbc-4467-a947-d546fa6f6429" + "position": { + "x": 1472, + "y": -72 } }, { - "source": { - "block": "3c0fad42-f5a3-483d-9fd4-3c22bd55880d", - "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" + "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "target": { - "block": "7bf9b6ae-e21c-43f2-9467-4c3be3d24f29", - "port": "inlabel" + "position": { + "x": 1320, + "y": -64 } }, { - "source": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "c45dab76-9d0f-4742-9e4d-3048637d245d" + "id": "4257b3cb-7350-44c5-85e5-b268731c9403", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "32ea078b-5ccf-483a-94f1-796e824507af", - "port": "inlabel" + "position": { + "x": 1000, + "y": 0 } }, { - "source": { - "block": "6aab2843-6b4b-455a-8598-1e2cbeab41dd", - "port": "outlabel" + "id": "b9e6bf41-98e2-4422-aeb7-f4a9b6332f20", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 288, + "y": 0 } }, { - "source": { - "block": "86d9a58e-ca48-482d-ac27-4e74598bcf86", - "port": "outlabel" + "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "type": "basic.inputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" }, - "target": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1312, + "y": 32 } }, { - "source": { - "block": "ae148645-d0f2-463c-87e2-01eb0c8a9b99", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "cf59f8b2-7315-40f3-bf3d-de98cd4305b6", - "port": "in" + "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "type": "basic.outputLabel", + "data": { + "name": "dwn", + "blockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 1480, + "y": 32 + } }, { - "source": { - "block": "00289418-0491-4aa5-9cfd-6213c65a42db", - "port": "out" - }, - "target": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86" + "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "type": "basic.output", + "data": { + "name": "dwn" }, - "size": 8 + "position": { + "x": 1616, + "y": 32 + } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "02ab648c-38a4-4811-8f0a-5c4262a41a2a", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 288, + "y": 120 } }, { - "source": { - "block": "03e28265-5f95-42d6-8c5f-78975349b9c4", - "port": "out" + "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "target": { - "block": "7320dcc7-2594-4281-b247-eca116cd825c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 440, + "y": 120 } }, { - "source": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "637e64f4-0a6b-4037-9a75-89397e078a58" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d" + "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "type": "basic.inputLabel", + "data": { + "name": "dwn", + "blockColor": "fuchsia" }, - "vertices": [], - "size": 4 + "position": { + "x": 1312, + "y": 128 + } }, { - "source": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5" + "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "vertices": [], - "size": 4 + "position": { + "x": 992, + "y": 136 + } }, { - "source": { - "block": "a2891ee2-4a03-4162-be47-df1fc54fec4b", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "6e8de1f4-abf7-4ad2-85a2-d6b28abe5288", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [ - { - "x": 648, - "y": 952 - } - ] + "position": { + "x": 1624, + "y": 144 + } }, { - "source": { - "block": "39e90a36-61e2-47b8-a3ce-418327f90a9e", - "port": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8" - }, - "target": { - "block": "a27d9da9-0be7-4a22-b7d0-5960c989c579", - "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + "id": "1f410454-644a-4dd3-b626-27b642833012", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "size": 8 + "position": { + "x": 1480, + "y": 144 + } }, { - "source": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" - }, - "target": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "type": "basic.inputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "vertices": [] + "position": { + "x": 1288, + "y": 200 + } }, { - "source": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + "id": "045df3aa-4fcf-49bc-8799-99cbe403ee17", + "type": "basic.constant", + "data": { + "name": "Fsys", + "value": "12000000", + "local": false }, - "vertices": [] + "position": { + "x": 696, + "y": -48 + } }, { - "source": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" - }, - "target": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "id": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", + "type": "basic.constant", + "data": { + "name": "TEns", + "value": "1000", + "local": false }, - "vertices": [] + "position": { + "x": 840, + "y": -56 + } }, { - "source": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", + "type": "basic.info", + "data": { + "info": "Ejecutar 1 ciclo", + "readonly": true }, - "target": { - "block": "23a39f83-6e7b-4fdd-8e0a-b27a49dfbf0a", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 296, + "y": 88 }, - "vertices": [] + "size": { + "width": 160, + "height": 40 + } }, { - "source": { - "block": "e8bb0338-fe32-4d26-9504-a5d565b178ea", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", + "type": "basic.info", + "data": { + "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", + "readonly": true }, - "target": { - "block": "1d170a30-c4be-4945-9d76-e0726c2756c4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1728, + "y": -208 }, - "vertices": [] + "size": { + "width": 192, + "height": 72 + } }, { - "source": { - "block": "4324ff32-d664-44dc-a015-df00563b350c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "81af9369-f287-4ea0-b2ad-fc5c8507b892", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", + "type": "basic.info", + "data": { + "info": "Señal de validación de datos \npara el LCD", + "readonly": true }, - "vertices": [] - }, - { - "source": { - "block": "b7aca6ad-815e-4ecd-bf9c-e40f0d0445fd", - "port": "constant-out" - }, - "target": { - "block": "b44d7e9d-e1b9-4065-acec-3cc3c7b9d96b", - "port": "7ca578e5-8e36-4c04-bbea-144418c8e9af" + "position": { + "x": 1736, + "y": -64 }, - "vertices": [] + "size": { + "width": 240, + "height": 56 + } }, { - "source": { - "block": "3ef4478a-c7bc-42d3-8288-3c787548347e", - "port": "constant-out" + "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", + "type": "basic.info", + "data": { + "info": "Ciclo completado", + "readonly": true }, - "target": { - "block": "a7df93c5-c9cc-40c4-82bd-350786f34181", - "port": "045df3aa-4fcf-49bc-8799-99cbe403ee17" + "position": { + "x": 1752, + "y": 168 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "89e51743-49aa-4bd8-a770-4e7e3154b3e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", + "type": "basic.info", + "data": { + "info": "Flanco de bajada \nen E", + "readonly": true }, - "target": { - "block": "6b251660-3731-41fb-bd5a-b8c8c25c8ec4", - "port": "in" + "position": { + "x": 1744, + "y": 40 + }, + "size": { + "width": 176, + "height": 48 } - } - ] - } - } - }, - "56d27471f271f95a5d5eb660f7745d5d9329ab02": { - "package": { - "name": "8-bits-Reg", - "version": "0.1", - "description": "8-bits register (in verilog)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", + "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "No conectado", + "readonly": true }, "position": { - "x": 80, - "y": 176 + "x": 424, + "y": -64 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8", - "type": "basic.output", + "id": "f42025e8-8342-4454-af6c-1b1110db5751", + "type": "basic.info", "data": { - "name": "q", - "range": "[7:0]", - "size": 8 + "info": "Periodo de E \nen ns", + "readonly": true }, "position": { - "x": 640, - "y": 248 + "x": 864, + "y": -112 + }, + "size": { + "width": 168, + "height": 56 } }, { - "id": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86", - "type": "basic.input", - "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 - }, + "id": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "type": "32f4dc71b91d2cfbd55c5982a436afb666f277f6", "position": { - "x": 80, - "y": 248 + "x": 816, + "y": 64 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", + "id": "21d9df80-5a5d-4544-97a9-b6c0450d6617", + "type": "basic.info", "data": { - "name": "load", - "clock": false + "info": "Frecuencia del reloj del \nsistema (en Hz)", + "readonly": true }, "position": { - "x": 80, - "y": 312 + "x": 664, + "y": -104 + }, + "size": { + "width": 208, + "height": 56 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "0f44b5f7-010b-4c79-862f-b295c8ed26c5", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "No conectado", + "readonly": true }, "position": { - "x": 376, - "y": 56 + "x": 424, + "y": 16 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[7:0]", - "size": 8 - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q", - "range": "[7:0]", - "size": 8 - } - ] - } - }, + "id": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "type": "d9f08833abf27bbc2d382e10dc5e1799abf5ff35", "position": { - "x": 280, - "y": 176 + "x": 1144, + "y": 16 }, "size": { - "width": 288, - "height": 200 + "width": 96, + "height": 128 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" + "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "port": "inlabel" } }, { "source": { - "block": "35a0e425-acd1-4cd9-b3dc-ff7f451dce86", - "port": "out" + "block": "a79604fd-0250-4441-a526-65bed00007ba", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 8 + "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "port": "in" + } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "1f410454-644a-4dd3-b626-27b642833012", + "port": "outlabel" }, "target": { - "block": "6da4196c-cae2-44ba-b472-b6b9f0e7b1f8", + "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "8284c1dda332813a849c5ba350042fae0ffffbbc": { - "package": { - "name": "DFF", - "version": "0.1", - "description": "DFF: D flip-flop with load input", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ + } + }, { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "port": "outlabel" }, - "position": { - "x": 120, - "y": 504 + "target": { + "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "port": "in" } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "port": "outlabel" }, - "position": { - "x": 264, - "y": 504 + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" } }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "4257b3cb-7350-44c5-85e5-b268731c9403", + "port": "outlabel" }, - "position": { - "x": 640, - "y": 512 + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" }, - "position": { - "x": 456, - "y": 552 + "target": { + "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "port": "inlabel" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1192, - "y": 592 + "target": { + "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "port": "inlabel" } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "7c46b388-cac0-47cb-a14e-8e596d9822d9" }, - "position": { - "x": 1048, - "y": 592 + "target": { + "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "port": "inlabel" } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" }, - "position": { - "x": 928, - "y": 600 + "target": { + "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "port": "inlabel" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false + "source": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "dddbb1a2-a5e6-423e-946f-8ca33838450a" }, - "position": { - "x": 120, - "y": 616 - } - }, - { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "fuchsia" + "target": { + "block": "a833b4f4-c364-4f36-ba0f-a08ac259720b", + "port": "542753b4-d18d-4cae-b823-1e62a15408ff" }, - "position": { - "x": 272, - "y": 616 - } + "vertices": [], + "size": 4 }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "source": { + "block": "045df3aa-4fcf-49bc-8799-99cbe403ee17", + "port": "constant-out" }, - "position": { - "x": 456, - "y": 616 - } + "target": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + }, + "vertices": [] }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" + "source": { + "block": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", + "port": "constant-out" }, - "position": { - "x": 456, - "y": 688 - } - }, + "target": { + "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + }, + "vertices": [] + } + ] + } + } + }, + "32f4dc71b91d2cfbd55c5982a436afb666f277f6": { + "package": { + "name": "Unit-ns-4bits", + "version": "0.1", + "description": "Unit-ns-4bits: ns to cycles converter. Max value: 1250 ns (15 cycles)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22197.4%22%20height=%2296.404%22%20viewBox=%220%200%2052.228676%2025.507021%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%20font-size=%2244.42%22%20fill=%22green%22%20stroke-width=%22.265%22%20font-weight=%22700%22%3E%3Cpath%20d=%22M24.423%2010.086v14.792h-7.809V13.556q0-3.145-.152-4.338-.13-1.193-.477-1.757-.455-.759-1.236-1.171-.78-.434-1.779-.434-2.429%200-3.817%201.887-1.388%201.866-1.388%205.184v11.951H0V.586h7.765v3.557q1.757-2.126%203.73-3.124Q13.47%200%2015.856%200q4.208%200%206.377%202.581%202.19%202.581%202.19%207.505zM50.602%201.345v5.9q-2.494-1.042-4.815-1.562-2.32-.52-4.381-.52-2.213%200-3.297.563-1.063.542-1.063%201.692%200%20.933.802%201.431.825.5%202.929.738l1.366.195q5.965.76%208.025%202.494%202.06%201.736%202.06%205.444%200%203.883-2.862%205.835-2.863%201.952-8.546%201.952-2.408%200-4.989-.39-2.56-.37-5.27-1.128v-5.9q2.32%201.128%204.75%201.692%202.45.564%204.967.564%202.277%200%203.427-.63%201.15-.628%201.15-1.864%200-1.042-.803-1.54-.781-.52-3.145-.803l-1.367-.173q-5.184-.651-7.266-2.408t-2.082-5.336q0-3.86%202.646-5.726Q35.484%200%2040.95%200q2.147%200%204.512.325%202.364.326%205.14%201.02z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", + "id": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "type": "basic.output", "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "deepskyblue" + "name": "n", + "virtual": true, + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "NULL", + "value": "NULL" + }, + { + "index": "2", + "name": "NULL", + "value": "NULL" + }, + { + "index": "1", + "name": "NULL", + "value": "NULL" + }, + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ] }, "position": { - "x": 272, - "y": 696 + "x": 952, + "y": 488 } }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", "data": { - "name": "load", - "clock": false + "name": "F", + "value": "12000000", + "local": false }, "position": { - "x": 120, - "y": 696 + "x": 520, + "y": 344 } }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", "type": "basic.constant", "data": { - "name": "", - "value": "0", + "name": "ns", + "value": "583", "local": false }, "position": { - "x": 784, - "y": 504 + "x": 720, + "y": 344 } }, { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", "type": "basic.info", "data": { - "info": "Mux 2-1", + "info": "Input parameter: Nanoseconds \n* Max value: 1250 ns (15 cycles)", "readonly": true }, "position": { - "x": 640, - "y": 712 + "x": 712, + "y": 256 }, "size": { - "width": 104, - "height": 40 + "width": 304, + "height": 64 } }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", + "id": "0b858727-f8ad-4c64-882b-640b0edba180", "type": "basic.info", "data": { - "info": "D Flip-flip\n(System)", + "info": "Input parameter: \nSystem Frequency", "readonly": true }, "position": { - "x": 776, - "y": 680 + "x": 480, + "y": 264 }, "size": { - "width": 120, + "width": 280, "height": 48 } }, { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", - "position": { - "x": 616, - "y": 600 + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[3:0]", + "size": 4 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "NS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-9 * F * NS);\n\nassign n = Cycles;\n\n" }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", "position": { - "x": 784, - "y": 600 + "x": 472, + "y": 464 }, "size": { - "width": 96, - "height": 64 + "width": 392, + "height": 112 } } ], "wires": [ { "source": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" }, "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "NS" }, "vertices": [] }, { "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" }, "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" }, "vertices": [] }, { "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" }, "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" + "block": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "port": "in" }, - "vertices": [] - }, + "size": 4 + } + ] + } + } + }, + "d9f08833abf27bbc2d382e10dc5e1799abf5ff35": { + "package": { + "name": "LCD-cycle", + "version": "0.6", + "description": "LCD-cycle: Generar un ciclo en la señal E del LCD", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", + "otid": 1658901385846 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "position": { + "x": 192, + "y": -256 } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" + "position": { + "x": 344, + "y": -256 } }, { - "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" + "position": { + "x": 192, + "y": -152 } }, { - "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 2360, + "y": -144 } }, { - "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" + "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 2216, + "y": -144 } }, { - "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" - }, - "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "type": "basic.output", + "data": { + "name": "E" }, - "vertices": [ - { - "x": 576, - "y": 600 - } - ] + "position": { + "x": 2368, + "y": -48 + } }, { - "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "vertices": [] + "position": { + "x": 840, + "y": -48 + } }, { - "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" + "id": "a79604fd-0250-4441-a526-65bed00007ba", + "type": "basic.outputLabel", + "data": { + "name": "E", + "blockColor": "lightgray" }, - "target": { - "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "position": { + "x": 2224, + "y": -48 } - } - ] - } - } - }, - "a40d2f1701efd21a866b461c33578f4aeac9205c": { - "package": { - "name": "MuxF-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (1-bit channels). Fippled version", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "id": "95e3339b-7a06-4e83-8d47-2685027aa59a", + "type": "basic.inputLabel", "data": { - "name": "0", - "clock": false + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 144, - "y": 432 + "x": 960, + "y": -24 } }, { - "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "E", + "blockColor": "lightgray" }, "position": { - "x": 280, - "y": 432 + "x": 1784, + "y": 0 } }, { - "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "id": "4a238501-58e7-4093-be98-f52dad02f5ed", "type": "basic.outputLabel", "data": { + "name": "n", + "range": "[1:0]", "blockColor": "fuchsia", - "name": "b", - "oldBlockColor": "fuchsia" + "size": 2 }, "position": { - "x": 416, - "y": 432 + "x": 1352, + "y": 0 } }, { - "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "id": "4257b3cb-7350-44c5-85e5-b268731c9403", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a" + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 416, - "y": 496 + "x": 520, + "y": 32 } }, { - "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "type": "basic.output", + "id": "542753b4-d18d-4cae-b823-1e62a15408ff", + "type": "basic.input", "data": { - "name": "" + "name": "T_cyc", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 704, - "y": 496 + "x": 192, + "y": 40 } }, { - "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "id": "5a51839e-9918-4018-9120-de74b87deca0", "type": "basic.inputLabel", "data": { + "name": "T_cyc", + "range": "[3:0]", "blockColor": "fuchsia", - "name": "b", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "size": 4 }, "position": { - "x": 280, - "y": 504 + "x": 344, + "y": 40 } }, { - "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "type": "basic.input", + "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "type": "basic.outputLabel", "data": { - "name": "1", - "clock": false + "name": "dwn", + "blockColor": "fuchsia" }, "position": { - "x": 144, - "y": 504 + "x": 2232, + "y": 56 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "type": "basic.output", "data": { - "name": "sel", - "clock": false + "name": "dwn" }, "position": { - "x": 416, - "y": 568 + "x": 2368, + "y": 56 } }, { - "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 576, - "y": 480 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "target": { - "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", - "port": "inlabel" + "position": { + "x": 520, + "y": 96 } }, { - "source": { - "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", - "port": "out" + "id": "89f974f9-a1ec-43fb-aab8-37840e57e515", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "fuchsia" }, - "target": { - "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", - "port": "inlabel" + "position": { + "x": 1624, + "y": 128 } }, { - "source": { - "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", - "port": "outlabel" + "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "type": "basic.output", + "data": { + "name": "done" }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 2376, + "y": 168 } }, { - "source": { - "block": "8d94a294-a698-43c5-9777-874fd39b8586", - "port": "outlabel" + "id": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", + "type": "basic.outputLabel", + "data": { + "name": "next", + "blockColor": "fuchsia" }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 520, + "y": 168 } }, { - "source": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "id": "1f410454-644a-4dd3-b626-27b642833012", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" }, - "target": { - "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", - "port": "in" + "position": { + "x": 2232, + "y": 168 } }, { - "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" - }, - "target": { - "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } - } - ] - } - } - }, - "053dc2e26797e60dd454402e395eb23f388681b9": { - "package": { - "name": "DFF", - "version": "2.0", - "description": "D Flip-flop (verilog implementation)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "start", + "clock": false }, "position": { - "x": 208, - "y": 160 + "x": 184, + "y": 176 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "type": "basic.inputLabel", "data": { - "name": "" + "name": "start", + "blockColor": "orangered" }, "position": { - "x": 816, - "y": 224 + "x": 336, + "y": 176 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 208, - "y": 304 + "x": 888, + "y": 176 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "dwn", + "blockColor": "fuchsia" }, "position": { - "x": 512, - "y": 64 + "x": 1896, + "y": 192 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", + "id": "97a1352f-3723-4569-a724-6d7e8e65dbe4", + "type": "basic.outputLabel", "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 + "x": 1352, + "y": 208 } }, { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", + "id": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", + "type": "basic.outputLabel", "data": { - "info": "Parameter: Initial value", - "readonly": true + "name": "cycles", + "range": "[2:0]", + "blockColor": "darkorange", + "size": 3 }, "position": { - "x": 488, - "y": 48 - }, - "size": { - "width": 208, - "height": 40 + "x": 888, + "y": 240 } }, { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", + "id": "845e659b-d1fb-411f-83c7-421979af60a0", + "type": "basic.inputLabel", "data": { - "info": "System clock", - "readonly": true + "name": "next", + "blockColor": "fuchsia" }, "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 + "x": 1216, + "y": 312 } }, { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", + "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "type": "basic.inputLabel", "data": { - "info": "Input data", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 + "x": 824, + "y": 352 } }, { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", + "id": "48b111a7-1476-4808-bbbb-310f82cc343d", + "type": "basic.outputLabel", "data": { - "info": "Output", - "readonly": true + "name": "T_cyc", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 + "x": 360, + "y": 512 } }, { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", + "id": "8550eb51-bbcb-494b-b1d1-9c32b8499242", + "type": "basic.inputLabel", "data": { - "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true - }, - "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "name": "cycles", + "range": "[2:0]", + "blockColor": "darkorange", + "size": 3 }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "position": { + "x": 864, + "y": 528 } }, { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "id": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", + "type": "basic.constant", + "data": { + "name": "Slot", + "value": "2", + "local": true }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "position": { + "x": 1496, + "y": 112 } }, { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", + "type": "basic.info", + "data": { + "info": "Ejecutar 1 ciclo", + "readonly": true }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } - }, - { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "position": { + "x": 192, + "y": 144 }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "size": { + "width": 160, + "height": 40 } - } - ] - } - } - }, - "cd03feae103824c95f164b3d6e9ee634e331304a": { - "package": { - "name": "4-bits-Mux-2-1", - "version": "0.1", - "description": "2-to-1 Multplexer (4-bit channels)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", - "type": "basic.outputLabel", + "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A3", - "oldBlockColor": "fuchsia" + "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", + "readonly": true }, "position": { - "x": 712, - "y": -72 + "x": 2480, + "y": -184 + }, + "size": { + "width": 192, + "height": 72 } }, { - "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", - "type": "basic.outputLabel", + "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" + "info": "Señal de validación de datos \npara el LCD", + "readonly": true }, "position": { - "x": 712, - "y": 0 + "x": 2488, + "y": -40 + }, + "size": { + "width": 240, + "height": 56 } }, { - "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", - "type": "basic.outputLabel", + "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Ciclo completado", + "readonly": true }, "position": { - "x": 712, - "y": 72 + "x": 2504, + "y": 192 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "type": "basic.outputLabel", + "id": "ce81778b-8ee7-4cc9-8276-a032261fa42f", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "info": "Ciclo completado!", + "readonly": true }, "position": { - "x": 712, - "y": 160 + "x": 792, + "y": 416 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "6776605f-93ce-4cae-8606-055bd2415b2d", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A3", - "oldBlockColor": "fuchsia" - }, + "id": "74f51491-7acf-4049-b9b1-09967c348f7a", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { - "x": 432, - "y": 160 + "x": 1648, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" - }, + "id": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 440, - "y": 224 + "x": 1488, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "type": "basic.outputLabel", - "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" - }, + "id": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "type": "93c5332100dac9394e2631de4a8fcdcbc727bc54", "position": { - "x": 712, - "y": 232 + "x": 1496, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "type": "basic.input", - "data": { - "name": "1", - "range": "[3:0]", - "clock": false, - "size": 4 - }, + "id": "ff784ae7-4b27-4080-877b-7252154a1c93", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 120, - "y": 240 + "x": 1752, + "y": 192 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", - "type": "basic.inputLabel", + "id": "bf78930b-811d-4fac-b091-54a9be6cd965", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1" + "info": "Slots 1 y 2: Pulso E a 1 \n(En el resto de Slots E está a 0)", + "readonly": true }, "position": { - "x": 432, - "y": 296 + "x": 1808, + "y": -72 + }, + "size": { + "width": 296, + "height": 64 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "type": "basic.outputLabel", + "id": "93a76747-7a9f-4f38-847f-bbdd581b7191", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Flanco de bajada en el pulso \n(fin del Slot 2) ", + "readonly": true }, "position": { - "x": 712, - "y": 304 + "x": 1856, + "y": 128 + }, + "size": { + "width": 288, + "height": 64 } }, { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "A0", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 416, - "y": 360 - } - }, - { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", + "id": "23e5ba95-fb1d-4d4d-a5f4-dcaee680271c", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A1", - "oldBlockColor": "fuchsia" + "info": "Máquina de contar \nde 2 bits \nSlot=0,1,2,3 ", + "readonly": true }, "position": { - "x": 712, - "y": 400 - } - }, - { - "id": "0c30aa42-915c-42e9-9179-f46349c9400c", - "type": "basic.output", - "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "x": 632, + "y": -56 }, - "position": { - "x": 1256, - "y": 416 + "size": { + "width": 200, + "height": 80 } }, { - "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "type": "basic.inputLabel", + "id": "cf848d60-cbde-4c79-9a0f-84cd7640d827", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B3", - "oldBlockColor": "turquoise" + "info": "## Slots de T/4", + "readonly": true }, "position": { - "x": 456, - "y": 464 - } - }, - { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", - "type": "basic.outputLabel", - "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" + "x": 1024, + "y": 80 }, - "position": { - "x": 712, - "y": 488 + "size": { + "width": 208, + "height": 40 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "type": "basic.inputLabel", + "id": "afbdd2f8-3ec2-4500-8ba2-318d3d22cad3", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "info": "Numero de slot", + "readonly": true }, "position": { - "x": 464, - "y": 528 - } - }, - { - "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "type": "basic.input", - "data": { - "name": "0", - "range": "[3:0]", - "clock": false, - "size": 4 + "x": 960, + "y": -48 }, - "position": { - "x": 120, - "y": 544 + "size": { + "width": 168, + "height": 40 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", - "type": "basic.outputLabel", + "id": "1633035a-d6ed-4007-b7b4-8524fabc447f", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "## Generar las señales de salida\n\nLas señales de salida se generan según el número de slot (h):\n\n* Slot 0: 250ns iniciales\n* Slots 1 y 2: Generar el pulso (500ns)\n* Slot 3: 250ns finales", + "readonly": true }, "position": { - "x": 712, - "y": 560 - } - }, - { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", - "type": "basic.inputLabel", - "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "fuchsia" + "x": 1440, + "y": -240 }, - "position": { - "x": 464, - "y": 592 + "size": { + "width": 456, + "height": 136 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "type": "basic.outputLabel", + "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "A0" + "info": "Flanco de bajada \nen E", + "readonly": true }, "position": { - "x": 720, - "y": 648 - } - }, - { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", - "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "x": 2496, + "y": 64 }, - "position": { - "x": 432, - "y": 648 + "size": { + "width": 176, + "height": 48 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", + "type": "basic.info", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "info": "No conectado", + "readonly": true }, "position": { - "x": 720, - "y": 720 - } - }, - { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false + "x": 320, + "y": -152 }, - "position": { - "x": 104, - "y": 728 + "size": { + "width": 160, + "height": 40 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", - "type": "basic.inputLabel", + "id": "a371e5de-c3ba-47c3-aa7f-61cd41b15783", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Periodo en ciclos", + "readonly": true }, "position": { - "x": 264, - "y": 728 + "x": 160, + "y": 0 + }, + "size": { + "width": 160, + "height": 40 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "type": "basic.outputLabel", + "id": "27bf274c-4365-42dd-8e09-e3a7a0520e09", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" + "info": "Dividir entre 4", + "readonly": true }, "position": { - "x": 712, - "y": 800 + "x": 512, + "y": 472 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "type": "0fa07340e795f699d6f370d550d2259f58dd3e21", "position": { - "x": 856, - "y": 472 + "x": 688, + "y": 512 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "type": "582721ded9ae89aab6647702e561cbbd2c48e590", "position": { - "x": 864, - "y": 704 + "x": 512, + "y": 512 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "id": "693e8bfe-ce67-4af7-a0eb-ee9a686a0d52", "type": "basic.info", "data": { - "info": "Channel A", + "info": "El bloque Sys-delay que usamos es de \nsólo 3 bits, para ahorrar espacio", "readonly": true }, "position": { - "x": 304, - "y": 128 + "x": 992, + "y": 528 }, "size": { - "width": 144, - "height": 32 + "width": 344, + "height": 80 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "id": "ecfc40f5-9825-4adc-babb-2eeac5d27eb8", "type": "basic.info", "data": { - "info": "Channel B", + "info": "Periodo de E \nen **ciclos** (T)", "readonly": true }, "position": { - "x": 304, - "y": 480 + "x": 368, + "y": 464 }, "size": { - "width": 144, - "height": 32 + "width": 168, + "height": 64 } }, { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 856, - "y": 216 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "type": "84f0a15761ee8b753f67079819a7614923939472", - "position": { - "x": 1040, - "y": 384 + "id": "70b1437c-859a-4d50-9532-8f516340b25a", + "type": "basic.info", + "data": { + "info": "El tiempo de cada slot \nes T/4", + "readonly": true }, - "size": { - "width": 96, - "height": 128 - } - }, - { - "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", "position": { - "x": 856, - "y": -16 + "x": 496, + "y": 592 }, "size": { - "width": 96, - "height": 96 + "width": 192, + "height": 56 } }, { - "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "b8e49328-9b39-4aaa-b233-72db8385c056", + "type": "f1067a42ce9553f42d194311ecd96a6397e80332", "position": { - "x": 288, - "y": 512 + "x": 688, + "y": 48 }, "size": { "width": 96, @@ -19302,729 +18030,824 @@ } }, { - "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "type": "076e4991a6a72fa34566f59fae65e81138cbffda", "position": { - "x": 280, - "y": 208 + "x": 1064, + "y": 264 }, "size": { "width": 96, - "height": 128 + "height": 96 } } ], "wires": [ { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "block": "0a772657-8018-424d-8f04-75d3ffff3692", "port": "inlabel" - } - }, - { - "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" }, - "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "vertices": [] }, { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "4a238501-58e7-4093-be98-f52dad02f5ed", + "port": "outlabel" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", - "port": "inlabel" - } + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "a409d207-7594-4558-8e15-89712262cf5b", + "size": 2 + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "port": "outlabel" + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "size": 2 }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } + "block": "95e3339b-7a06-4e83-8d47-2685027aa59a", + "port": "inlabel" + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } + }, + "vertices": [] }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "block": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + }, + "vertices": [] }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "block": "97a1352f-3723-4569-a724-6d7e8e65dbe4", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "size": 2 + }, + "vertices": [], + "size": 2 }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "port": "outlabel" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "block": "89f974f9-a1ec-43fb-aab8-37840e57e515", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, "vertices": [] }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", - "port": "outlabel" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "845e659b-d1fb-411f-83c7-421979af60a0", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", + "port": "out" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", "port": "inlabel" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "a79604fd-0250-4441-a526-65bed00007ba", + "port": "outlabel" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "port": "inlabel" + "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "port": "in" } }, { "source": { - "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "block": "1f410454-644a-4dd3-b626-27b642833012", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", + "port": "in" + } }, { "source": { - "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", + "port": "in" + } }, { "source": { - "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "block": "4257b3cb-7350-44c5-85e5-b268731c9403", "port": "outlabel" }, "target": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", + "port": "outlabel" }, "target": { - "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", - "port": "inlabel" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { "source": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "port": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", + "size": 3 }, "target": { - "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "block": "8550eb51-bbcb-494b-b1d1-9c32b8499242", "port": "inlabel" - } + }, + "vertices": [], + "size": 3 }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "542753b4-d18d-4cae-b823-1e62a15408ff", + "port": "out", + "size": 4 }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "5a51839e-9918-4018-9120-de74b87deca0", + "port": "inlabel" }, - "vertices": [ - { - "x": 984, - "y": 472 - } - ] + "size": 4 }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "48b111a7-1476-4808-bbbb-310f82cc343d", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" - } + "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "port": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", + "port": "outlabel" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "5ded0703-b257-4d63-923d-e5443d342fb3", + "size": 3 }, "vertices": [ { - "x": 976, - "y": 352 + "x": 1000, + "y": 296 } - ] + ], + "size": 3 }, { "source": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "0c30aa42-915c-42e9-9179-f46349c9400c", - "port": "in" + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": 4 + "vertices": [] }, { "source": { - "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } + "block": "74f51491-7acf-4049-b9b1-09967c348f7a", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] }, { "source": { - "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", - "port": "out" + "block": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", + "port": "constant-out" }, "target": { - "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "38335b0e-8d9b-4725-a45c-e0751762c6f8" }, - "size": 4 + "vertices": [] }, { "source": { - "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", - "port": "out" + "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + "block": "ff784ae7-4b27-4080-877b-7252154a1c93", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b8e49328-9b39-4aaa-b233-72db8385c056", + "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" + }, + "target": { + "block": "4d8ffc24-a960-4292-8aee-23cc0650132a", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [ + { + "x": 832, + "y": 296 + } + ] + }, + { + "source": { + "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", + "port": "47e6d0c1-84e5-4710-8a74-901692817ca9" + }, + "target": { + "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", + "port": "745d3113-3b1f-499a-a414-00e638cd932b" }, + "vertices": [], "size": 4 } ] } } }, - "2e6e3c0a3394cfbe15d739c75bdd7911331e95a2": { + "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { "package": { - "name": "LCD-cycle-ns", - "version": "0.4", - "description": "Generar un ciclo en la señal E del LCD", + "name": "Bus2-Split-all", + "version": "0.1", + "description": "Bus2-Split-all: Split the 2-bits bus into two wires", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", - "otid": 1658901385846 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "1" }, "position": { - "x": 288, - "y": -184 + "x": 552, + "y": 128 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "a409d207-7594-4558-8e15-89712262cf5b", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 440, - "y": -184 + "x": 136, + "y": 184 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "0f7487e5-b070-4277-bba6-acf69934afca", "type": "basic.output", "data": { - "name": "busy" + "name": "0" }, "position": { - "x": 1608, - "y": -168 + "x": 552, + "y": 240 } }, { - "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "type": "basic.outputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "busy", - "blockColor": "navy" + "code": "assign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, "position": { - "x": 1464, - "y": -168 + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "position": { - "x": 288, - "y": -80 + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { - "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", - "type": "basic.output", - "data": { - "name": "E" + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 1616, - "y": -72 + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" } }, { - "id": "a79604fd-0250-4441-a526-65bed00007ba", - "type": "basic.outputLabel", + "source": { + "block": "a409d207-7594-4558-8e15-89712262cf5b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 2 + } + ] + } + } + }, + "93c5332100dac9394e2631de4a8fcdcbc727bc54": { + "package": { + "name": "Comp1-x02", + "version": "0.1", + "description": "Comp1-x02: Comparator of 2-bits operand and parameter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618596618675 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "E", - "blockColor": "lightgray" + "name": "" }, "position": { - "x": 1472, - "y": -72 + "x": 904, + "y": 344 } }, { - "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "type": "basic.inputLabel", + "id": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1320, - "y": -64 + "x": 496, + "y": 432 } }, { - "id": "4257b3cb-7350-44c5-85e5-b268731c9403", - "type": "basic.outputLabel", + "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1000, - "y": 0 + "x": 520, + "y": 224 } }, { - "id": "b9e6bf41-98e2-4422-aeb7-f4a9b6332f20", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false - }, + "id": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "type": "e5bbf41d78133bc689da8710862f21529dc57878", "position": { - "x": 288, - "y": 0 + "x": 720, + "y": 344 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "type": "basic.inputLabel", - "data": { - "name": "E", - "blockColor": "lightgray" - }, + "id": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", "position": { - "x": 1312, - "y": 32 + "x": 520, + "y": 328 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", - "type": "basic.outputLabel", - "data": { - "name": "dwn", - "blockColor": "fuchsia" + "source": { + "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", + "port": "constant-out" }, - "position": { - "x": 1480, - "y": 32 - } + "target": { + "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] }, { - "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "type": "basic.output", - "data": { - "name": "dwn" + "source": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1616, - "y": 32 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "type": "basic.input", - "data": { - "name": "start", - "clock": false + "source": { + "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", + "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" }, - "position": { - "x": 288, - "y": 120 - } + "target": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "cafb11f4-8366-4ea4-96dc-09421b1ab171" + }, + "size": 2 }, { - "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "orangered" + "source": { + "block": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "port": "out" }, - "position": { - "x": 440, - "y": 120 - } - }, + "target": { + "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", + "port": "4e04cf57-df6a-4731-8426-5d45850cbc29" + }, + "size": 2 + } + ] + } + } + }, + "e5bbf41d78133bc689da8710862f21529dc57878": { + "package": { + "name": "comp2-2bits", + "version": "0.1", + "description": "Comp2-2bit: Comparator of two 2-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ { - "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", "type": "basic.inputLabel", "data": { - "name": "dwn", - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1312, - "y": 128 + "x": 680, + "y": 168 } }, { - "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "type": "basic.outputLabel", "data": { - "name": "start", - "blockColor": "orangered" + "blockColor": "fuchsia", + "name": "a1" }, "position": { - "x": 992, - "y": 136 + "x": 904, + "y": 176 } }, { - "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "type": "basic.output", + "id": "cafb11f4-8366-4ea4-96dc-09421b1ab171", + "type": "basic.input", "data": { - "name": "done" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1624, - "y": 144 + "x": 368, + "y": 208 } }, { - "id": "1f410454-644a-4dd3-b626-27b642833012", + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", "type": "basic.outputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "blockColor": "fuchsia", + "name": "b1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1480, - "y": 144 + "x": 904, + "y": 248 } }, { - "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", "type": "basic.inputLabel", "data": { - "name": "done", - "blockColor": "springgreen" + "blockColor": "fuchsia", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1288, - "y": 200 + "x": 680, + "y": 256 } }, { - "id": "045df3aa-4fcf-49bc-8799-99cbe403ee17", - "type": "basic.constant", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "Fsys", - "value": "12000000", - "local": false + "name": "" }, "position": { - "x": 696, - "y": -48 + "x": 1368, + "y": 320 } }, { - "id": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", - "type": "basic.constant", + "id": "9eab6815-4005-453c-8f24-68951cced197", + "type": "basic.outputLabel", "data": { - "name": "TEns", - "value": "1000", - "local": false + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 840, - "y": -56 + "x": 904, + "y": 344 } }, { - "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", - "type": "basic.info", + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", "data": { - "info": "Ejecutar 1 ciclo", - "readonly": true + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 296, - "y": 88 - }, - "size": { - "width": 160, - "height": 40 + "x": 688, + "y": 360 } }, { - "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", - "type": "basic.info", + "id": "4e04cf57-df6a-4731-8426-5d45850cbc29", + "type": "basic.input", "data": { - "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", - "readonly": true + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1728, - "y": -208 - }, - "size": { - "width": 192, - "height": 72 + "x": 376, + "y": 408 } }, { - "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", - "type": "basic.info", + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", "data": { - "info": "Señal de validación de datos \npara el LCD", - "readonly": true - }, - "position": { - "x": 1736, - "y": -64 - }, - "size": { - "width": 240, - "height": 56 - } - }, - { - "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", - "type": "basic.info", - "data": { - "info": "Ciclo completado", - "readonly": true + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1752, - "y": 168 - }, - "size": { - "width": 160, - "height": 40 + "x": 904, + "y": 416 } }, { - "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", - "type": "basic.info", + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", "data": { - "info": "Flanco de bajada \nen E", - "readonly": true + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1744, - "y": 40 - }, - "size": { - "width": 176, - "height": 48 + "x": 688, + "y": 456 } }, { - "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", - "type": "basic.info", - "data": { - "info": "No conectado", - "readonly": true - }, + "id": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 424, - "y": -64 + "x": 536, + "y": 208 }, "size": { - "width": 160, - "height": 40 + "width": 96, + "height": 64 } }, { - "id": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "type": "3a9322bee3e244652cef2963fcac1bab148026e7", + "id": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1144, - "y": 16 + "x": 536, + "y": 408 }, "size": { "width": 96, - "height": 128 + "height": 64 } }, { - "id": "f42025e8-8342-4454-af6c-1b1110db5751", - "type": "basic.info", - "data": { - "info": "Periodo de E \nen ns", - "readonly": true - }, + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 864, - "y": -112 + "x": 1056, + "y": 400 }, "size": { - "width": 168, - "height": 56 + "width": 96, + "height": 64 } }, { - "id": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "type": "32f4dc71b91d2cfbd55c5982a436afb666f277f6", + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", "position": { - "x": 816, - "y": 64 + "x": 1056, + "y": 232 }, "size": { "width": 96, @@ -20032,1175 +18855,1136 @@ } }, { - "id": "21d9df80-5a5d-4544-97a9-b6c0450d6617", + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", "type": "basic.info", "data": { - "info": "Frecuencia del reloj del \nsistema (en Hz)", + "info": "A", "readonly": true }, "position": { - "x": 664, - "y": -104 + "x": 416, + "y": 184 }, "size": { - "width": 208, - "height": 56 + "width": 80, + "height": 32 } }, { - "id": "0f44b5f7-010b-4c79-862f-b295c8ed26c5", + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", "type": "basic.info", "data": { - "info": "No conectado", + "info": "B", "readonly": true }, "position": { - "x": 424, - "y": 16 + "x": 416, + "y": 384 }, "size": { - "width": 160, - "height": 40 + "width": 80, + "height": 32 + } + }, + { + "id": "77ea015e-6eb5-4458-9077-72372bf94173", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1208, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "port": "outlabel" + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" } }, { "source": { - "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "port": "out" + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", "port": "inlabel" } }, { "source": { - "block": "a79604fd-0250-4441-a526-65bed00007ba", - "port": "outlabel" + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", - "port": "in" + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" } }, { "source": { - "block": "1f410454-644a-4dd3-b626-27b642833012", + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", "port": "outlabel" }, "target": { - "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "port": "in" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", "port": "outlabel" }, "target": { - "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "port": "in" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { "source": { - "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "block": "9eab6815-4005-453c-8f24-68951cced197", "port": "outlabel" }, "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "85bbd302-b76e-4ecc-9908-6e7baedc0c67" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "4257b3cb-7350-44c5-85e5-b268731c9403", + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", "port": "outlabel" }, "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" } }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9" + "block": "cafb11f4-8366-4ea4-96dc-09421b1ab171", + "port": "out" }, "target": { - "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "port": "inlabel" - } + "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "4e04cf57-df6a-4731-8426-5d45850cbc29", + "port": "out" }, "target": { - "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "port": "inlabel" - } + "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "7c46b388-cac0-47cb-a14e-8e596d9822d9" + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc" + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "port": "inlabel" + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "dddbb1a2-a5e6-423e-946f-8ca33838450a" + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "ec5f6d95-9105-412d-8d69-28126e5c4cdd", - "port": "542753b4-d18d-4cae-b823-1e62a15408ff" - }, - "vertices": [], - "size": 4 - }, + "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { + "package": { + "name": "2-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 2-bits generic constant (0,1,2,3)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "045df3aa-4fcf-49bc-8799-99cbe403ee17", - "port": "constant-out" - }, - "target": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", + "type": "basic.output", + "data": { + "name": "", + "range": "[1:0]", + "size": 2 }, - "vertices": [] + "position": { + "x": 952, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[1:0]", + "size": 2 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "d1c1967a-ef00-47d7-9ddb-ed86f3791046", + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", "port": "constant-out" }, "target": { - "block": "12b4ae32-6d22-4bbe-b5c0-d6d8f07b078c", - "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, - "vertices": [] + "target": { + "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", + "port": "in" + }, + "size": 2 } ] } } }, - "3a9322bee3e244652cef2963fcac1bab148026e7": { + "0fa07340e795f699d6f370d550d2259f58dd3e21": { "package": { - "name": "LCD-cycle", - "version": "0.4", - "description": "Generar un ciclo en la señal E del LCD", + "name": "Bus4-Split-1-3", + "version": "0.1", + "description": "Bus4-Split-1-3: Split the 4-bits bus into two: 1-bit and 3-bits buses", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22449.765%22%20height=%22518.654%22%20viewBox=%220%200%20119.0004%20137.22732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M117.016%2064.442c0%203.015-1.96%202.635-3.282%202.635H4.384c-1.322%200-2.4-1.094-2.4-4.108V3.047c0-3.021%201.078-2.572%202.4-2.572h109.35c1.322%200%203.282-.442%203.282%202.572z%22%20fill=%22#87ad34%22%20stroke=%22#303030%22%20stroke-width=%22.876%22/%3E%3Cpath%20d=%22M10.373%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%208.843h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2015.072h6.081v6.211h-6.08zm6.081%200h6.073v6.211h-6.073zm6.081%200h6.064v6.211h-6.064zm6.064%200h6.082v6.211h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2021.283h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2021.283h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2027.512h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2027.512h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2033.74h6.081v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2033.74h6.081v6.229h-6.08zm6.081%200h6.073v6.229h-6.073zm6.081%200h6.064v6.229h-6.064zm6.064%200h6.082v6.229h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2039.969h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2039.969h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M16.454%2046.189h6.081v6.228h-6.08zm6.081%200h6.073v6.228h-6.073zm6.081%200h6.064v6.228h-6.064zm6.064%200h6.082v6.228h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M10.373%2052.417h6.081v6.22h-6.08zm6.081%200h6.081v6.22h-6.08zm6.081%200h6.073v6.22h-6.073zm6.081%200h6.064v6.22h-6.064zm6.064%200h6.082v6.22h-6.073z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%208.843h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%208.843h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%208.843h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2015.072h6.081v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08zm6.08%200h6.082v6.211h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2015.072h6.072v6.211h-6.072zm-24.316%206.211h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2027.512h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081zm6.082%200h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2033.74h6.081v6.229h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.082v6.229h-6.081zm6.082%200h6.072v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2039.969h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081zm6.082%200h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M43.802%2046.189h6.081v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M49.883%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.082v6.228h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M68.118%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M43.802%2052.417h6.081v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M49.883%2052.417h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.082v6.22h-6.081z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M68.118%2052.417h6.072v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%208.843h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%208.843h6.072v6.22h-6.072zM101.538%208.843h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2015.072h6.082v6.211H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2015.072h6.073v6.211h-6.073zm6.073%200h6.08v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2015.072h6.072v6.211h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2015.072h6.081v6.211h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2021.283h6.082v6.229H77.23z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2021.283h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2021.283h6.081v6.229h-6.08zm-24.307%206.229h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2027.512h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08zm6.08%200h6.073v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2027.512h6.081v6.228h-6.08zM77.231%2033.74h6.08v6.229h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2033.74h6.073v6.229h-6.073zm6.073%200h6.08v6.229h-6.08zm6.08%200h6.073v6.229h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2033.74h6.081v6.229h-6.08zM77.231%2039.97h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2039.969h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08zm6.08%200h6.073v6.22h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M101.538%2039.969h6.081v6.22h-6.08zm-24.307%206.22h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M83.312%2046.189h6.073v6.228h-6.073zm6.073%200h6.08v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M95.466%2046.189h6.072v6.228h-6.072z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M101.538%2046.189h6.081v6.228h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Cpath%20d=%22M77.23%2052.417h6.082v6.22H77.23zm6.082%200h6.073v6.22h-6.073zm6.073%200h6.08v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.866%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22/%3E%3Cpath%20d=%22M95.466%2052.417h6.072v6.22h-6.072zM101.538%2052.417h6.081v6.22h-6.08z%22%20opacity=%22.99%22%20fill=%22#1a1a1a%22%20fill-opacity=%22.102%22%20stroke=%22#1a1a1a%22%20stroke-width=%22.072%22%20stroke-opacity=%22.102%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2263.06%22%20y=%22170.926%22%20font-size=%2227.558%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.689%22%20transform=%22translate(-45.94%20-39.646)%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2263.06%22%20y=%22170.926%22%20font-weight=%22700%22%3ECycle%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M117.016%20101.373l-29.216-.207-5.617-20.42H33.282l-5.471%2020.42-25.827.207%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E", - "otid": 1658901385846 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "1" }, "position": { - "x": 192, - "y": -256 + "x": 560, + "y": 80 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "745d3113-3b1f-499a-a414-00e638cd932b", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 344, - "y": -256 + "x": 136, + "y": 184 } }, { - "id": "66d9e6d1-4a9f-4b19-a1e4-40cb0aa7959f", - "type": "basic.input", + "id": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", + "type": "basic.output", "data": { - "name": "nc", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "name": "0", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 192, - "y": -152 + "x": 600, + "y": 208 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "busy" + "code": "assign o1 = i[3];\nassign o0 = i[2:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[2:0]", + "size": 3 + } + ] + } }, "position": { - "x": 2360, - "y": -144 + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { - "id": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", - "type": "basic.outputLabel", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", + "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "745d3113-3b1f-499a-a414-00e638cd932b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "582721ded9ae89aab6647702e561cbbd2c48e590": { + "package": { + "name": "Div4-uint-4bits", + "version": "0.1", + "description": "Div4-unit-4bits: Divide a 4-bits uint number by 4", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22339.186%22%20height=%22183.786%22%20viewBox=%220%200%20317.98705%20172.30008%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-468.41%22%20y=%2243.877%22%20font-size=%2237.5%22%20font-family=%22sans-serif%22%20writing-mode=%22vertical-lr%22%20stroke-width=%22.938%22/%3E%3Cg%20style=%22line-height:1.25%22%20transform=%22translate(17.31%20-17.61)%22%20font-size=%22190.376%22%20fill=%22#00f%22%20stroke-width=%224.759%22%3E%3Cpath%20d=%22M-7.935%20111.638h147.779%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%2218.75%22%20stroke-linecap=%22round%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%2258.129%22%20r=%2224.417%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%22165.148%22%20r=%2224.417%22/%3E%3C/g%3E%3Cpath%20d=%22M251.399%2036.583l-48.701%2072.129h48.7zM244.013%200h49.393v108.712h24.582v32.198h-24.582v31.39H251.4v-31.39H175v-38.084z%22%20font-size=%22236.35%22%20stroke-width=%225.441%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22/%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 2216, - "y": -144 + "x": 480, + "y": 272 } }, { - "id": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", + "id": "47e6d0c1-84e5-4710-8a74-901692817ca9", "type": "basic.output", "data": { - "name": "E" + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 2368, - "y": -48 + "x": 984, + "y": 272 } }, { - "id": "cc971e69-6c65-4476-a19a-ec91c3e995e3", - "type": "basic.inputLabel", + "id": "c2696cd3-b7a7-42b8-ad2b-58e136fc1cfd", + "type": "basic.info", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "info": "It is implemented by shifthing 2 bits to the right", + "readonly": true }, "position": { - "x": 840, - "y": -48 + "x": 528, + "y": 176 + }, + "size": { + "width": 424, + "height": 40 } }, { - "id": "a79604fd-0250-4441-a526-65bed00007ba", - "type": "basic.outputLabel", - "data": { - "name": "E", - "blockColor": "lightgray", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", "position": { - "x": 2224, - "y": -48 + "x": 640, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "95e3339b-7a06-4e83-8d47-2685027aa59a", - "type": "basic.inputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", "position": { - "x": 960, - "y": -24 + "x": 808, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", - "type": "basic.inputLabel", - "data": { - "name": "E", - "blockColor": "lightgray", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "port": "out" }, - "position": { - "x": 1784, - "y": 0 - } + "target": { + "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" + }, + "size": 4 }, { - "id": "4a238501-58e7-4093-be98-f52dad02f5ed", - "type": "basic.outputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", + "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" }, - "position": { - "x": 1352, - "y": 0 - } + "target": { + "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" + }, + "size": 4 }, { - "id": "4257b3cb-7350-44c5-85e5-b268731c9403", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", + "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" }, - "position": { - "x": 520, - "y": 32 - } - }, + "target": { + "block": "47e6d0c1-84e5-4710-8a74-901692817ca9", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "bb3c16ee131504e1eb5a52aebaf459263bf9f239": { + "package": { + "name": "SR1-unit4", + "version": "0.1", + "description": "SR1-uint4: Shift a 4-bit value one bit right. MSB is filled with 0 (no sign used)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22164.122%22%20viewBox=%220%200%20280.28048%20153.86437%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-251.299)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22700%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%221.809%22%3E%3Cpath%20d=%22M27.921%2096.208h14.585v33.904q0%207.008%202.273%2010.04%202.31%202.992%207.5%202.992%205.228%200%207.501-2.993%202.31-3.03%202.31-10.039V96.208h14.585v33.904q0%2012.009-6.023%2017.88-6.023%205.872-18.373%205.872-12.311%200-18.335-5.871-6.023-5.872-6.023-17.88zM90.957%2096.208h14.585v56.558H90.957zM119.899%2096.208h16.29l20.57%2038.791V96.208h13.826v56.558h-16.29l-20.57-38.791v38.79H119.9zM178.162%2096.208h52.125v11.024h-18.751v45.534H196.95v-45.534h-18.79z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ { - "id": "542753b4-d18d-4cae-b823-1e62a15408ff", - "type": "basic.input", + "id": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", + "type": "basic.output", "data": { - "name": "T_cyc", - "virtual": true, + "name": "", "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "size": 4 }, "position": { - "x": 192, - "y": 40 + "x": 1048, + "y": 264 } }, { - "id": "5a51839e-9918-4018-9120-de74b87deca0", - "type": "basic.inputLabel", + "id": "bd4f8bd4-1168-45de-9e31-9285fc5df114", + "type": "basic.input", "data": { - "name": "T_cyc", + "name": "", "range": "[3:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "clock": false, + "size": 4 }, "position": { - "x": 344, - "y": 40 + "x": 184, + "y": 296 } }, { - "id": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", - "type": "basic.outputLabel", - "data": { - "name": "dwn", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "953c64e3-4076-4585-9f11-712f1a493091", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 2232, - "y": 56 + "x": 736, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "type": "basic.output", - "data": { - "name": "dwn", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "id": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 424, + "y": 264 }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "01808189-9bef-463d-b550-33d6bf218fa5", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 2368, - "y": 56 + "x": 896, + "y": 232 + }, + "size": { + "width": 96, + "height": 128 } + } + ], + "wires": [ + { + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 656, + "y": 312 + } + ] }, { - "id": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", - "type": "basic.outputLabel", - "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 520, - "y": 96 + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + }, + "vertices": [] + }, + { + "source": { + "block": "bd4f8bd4-1168-45de-9e31-9285fc5df114", + "port": "out" + }, + "target": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "953c64e3-4076-4585-9f11-712f1a493091", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { - "id": "89f974f9-a1ec-43fb-aab8-37840e57e515", + "source": { + "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "01808189-9bef-463d-b550-33d6bf218fa5", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + } + } + ] + } + } + }, + "f1067a42ce9553f42d194311ecd96a6397e80332": { + "package": { + "name": "Mach-count-2-bit", + "version": "0.3", + "description": "Mach-count-2-bit: 2-bit count machine", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621619608318 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", "type": "basic.outputLabel", "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 1624, - "y": 128 + "x": 1320, + "y": 8 } }, { - "id": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "type": "basic.output", + "id": "1619287c-6197-46f8-95b5-e0a8ac103782", + "type": "basic.inputLabel", "data": { - "name": "done" + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 2376, - "y": 168 + "x": 1600, + "y": 72 } }, { - "id": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", + "id": "906db813-b008-4932-a78c-39f15e593412", "type": "basic.outputLabel", "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 520, - "y": 168 + "x": 1248, + "y": 72 } }, { - "id": "1f410454-644a-4dd3-b626-27b642833012", - "type": "basic.outputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy" }, "position": { - "x": 2232, - "y": 168 + "x": 2064, + "y": 88 } }, { - "id": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "type": "basic.input", + "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "type": "basic.outputLabel", "data": { - "name": "start", - "clock": false + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 184, - "y": 176 + "x": 1904, + "y": 88 } }, { - "id": "9a901beb-6a4b-452c-ae10-94d2934050ae", - "type": "basic.inputLabel", + "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", + "type": "basic.outputLabel", "data": { "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "orangered" }, "position": { - "x": 336, - "y": 176 + "x": 776, + "y": 104 } }, { - "id": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", - "type": "basic.outputLabel", + "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 888, - "y": 176 + "x": 1072, + "y": 120 } }, { - "id": "9f718fb7-115d-4151-a088-bf4edeffc8d3", - "type": "basic.inputLabel", + "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", + "type": "basic.outputLabel", "data": { - "name": "dwn", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 1896, - "y": 192 + "x": 1256, + "y": 128 } }, { - "id": "97a1352f-3723-4569-a724-6d7e8e65dbe4", + "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", "type": "basic.outputLabel", "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 1352, - "y": 208 + "x": 472, + "y": 184 } }, { - "id": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "cycles", - "range": "[2:0]", - "blockColor": "darkorange", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "clock": true }, "position": { - "x": 888, - "y": 240 + "x": 120, + "y": 200 } }, { - "id": "845e659b-d1fb-411f-83c7-421979af60a0", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", "type": "basic.inputLabel", "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 1216, - "y": 312 + "x": 272, + "y": 200 } }, { - "id": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "type": "basic.inputLabel", + "id": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "n", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 824, - "y": 352 + "x": 2064, + "y": 200 } }, { - "id": "48b111a7-1476-4808-bbbb-310f82cc343d", + "id": "c0f81a2d-40a8-4519-8066-cda7f2897e80", "type": "basic.outputLabel", "data": { - "name": "T_cyc", - "range": "[3:0]", + "name": "n", + "range": "[1:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 2 }, "position": { - "x": 360, - "y": 512 + "x": 1904, + "y": 200 } }, { - "id": "8550eb51-bbcb-494b-b1d1-9c32b8499242", - "type": "basic.inputLabel", + "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "type": "basic.output", "data": { - "name": "cycles", - "range": "[2:0]", - "blockColor": "darkorange", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "exec" }, "position": { - "x": 864, - "y": 528 + "x": 2064, + "y": 280 } }, { - "id": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", - "type": "basic.constant", + "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "type": "basic.outputLabel", "data": { - "name": "Slot", - "value": "2", - "local": true + "name": "exec", + "blockColor": "darkgreen" }, "position": { - "x": 1496, - "y": 112 + "x": 1904, + "y": 280 } }, { - "id": "0e3d260d-4ff7-41b0-9795-e6ff4915987e", - "type": "basic.info", + "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", + "type": "basic.outputLabel", "data": { - "info": "Ejecutar 1 ciclo", - "readonly": true + "name": "start_ok", + "blockColor": "orangered" }, "position": { - "x": 192, - "y": 144 - }, - "size": { - "width": 160, - "height": 40 + "x": 1264, + "y": 280 } }, { - "id": "8de29338-a933-4ffa-86e7-392b1bdfe829", - "type": "basic.info", + "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", + "type": "basic.input", "data": { - "info": "EStado del bloque:\n* 0: OFF (libre)\n* 1: ON (ocupado)", - "readonly": true + "name": "nc", + "clock": false }, "position": { - "x": 2480, - "y": -184 - }, - "size": { - "width": 192, - "height": 72 + "x": 120, + "y": 288 } }, { - "id": "0cc1e87d-d062-45df-80a5-db90cdaa2a07", - "type": "basic.info", + "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", + "type": "basic.outputLabel", "data": { - "info": "Señal de validación de datos \npara el LCD", - "readonly": true + "name": "busy", + "blockColor": "navy" }, "position": { - "x": 2488, - "y": -40 - }, - "size": { - "width": 240, - "height": 56 + "x": 464, + "y": 304 } }, { - "id": "c7946888-0c95-46b5-8226-2bac20f8fb1c", - "type": "basic.info", + "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", + "type": "basic.outputLabel", "data": { - "info": "Ciclo completado", - "readonly": true + "name": "next_ok", + "blockColor": "mediumvioletred" }, "position": { - "x": 2504, - "y": 192 - }, - "size": { - "width": 160, - "height": 40 + "x": 1264, + "y": 352 } }, { - "id": "ce81778b-8ee7-4cc9-8276-a032261fa42f", - "type": "basic.info", + "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "type": "basic.output", "data": { - "info": "Ciclo completado!", - "readonly": true + "name": "done" }, "position": { - "x": 792, - "y": 416 - }, - "size": { - "width": 160, - "height": 40 + "x": 2064, + "y": 360 } }, { - "id": "74f51491-7acf-4049-b9b1-09967c348f7a", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "type": "basic.outputLabel", + "data": { + "name": "done", + "blockColor": "springgreen" + }, "position": { - "x": 1648, - "y": 0 - }, - "size": { - "width": 96, - "height": 64 + "x": 1896, + "y": 360 } }, { - "id": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 1488, - "y": 0 + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 376 } }, { - "id": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "type": "4c73bd1cd0a1c2400416fb1d09b1dc76faf3501b", - "position": { - "x": 688, - "y": 48 + "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "orangered" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 272, + "y": 376 } }, { - "id": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "type": "93c5332100dac9394e2631de4a8fcdcbc727bc54", - "position": { - "x": 1496, - "y": 208 + "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 464, + "y": 384 } }, { - "id": "ff784ae7-4b27-4080-877b-7252154a1c93", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 1752, - "y": 192 + "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 448 } }, { - "id": "bf78930b-811d-4fac-b091-54a9be6cd965", - "type": "basic.info", + "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "type": "basic.inputLabel", "data": { - "info": "Slots 1 y 2: Pulso E a 1 \n(En el resto de Slots E está a 0)", - "readonly": true + "blockColor": "fuchsia", + "name": "next", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1808, - "y": -72 - }, - "size": { - "width": 296, - "height": 64 + "x": 272, + "y": 448 } }, { - "id": "93a76747-7a9f-4f38-847f-bbdd581b7191", - "type": "basic.info", + "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", + "type": "basic.inputLabel", "data": { - "info": "Flanco de bajada en el pulso \n(fin del Slot 2) ", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 1856, - "y": 128 - }, - "size": { - "width": 288, - "height": 64 + "x": 1768, + "y": 464 } }, { - "id": "23e5ba95-fb1d-4d4d-a5f4-dcaee680271c", - "type": "basic.info", + "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", + "type": "basic.outputLabel", "data": { - "info": "Máquina de contar \nde 2 bits \nSlot=0,1,2,3 ", - "readonly": true + "name": "clk", + "blockColor": "yellow" }, "position": { - "x": 632, - "y": -56 - }, - "size": { - "width": 200, - "height": 80 + "x": 1072, + "y": 528 } }, { - "id": "cf848d60-cbde-4c79-9a0f-84cd7640d827", - "type": "basic.info", + "id": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", + "type": "basic.inputLabel", "data": { - "info": "## Slots de T/4", - "readonly": true + "name": "n", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1024, - "y": 80 - }, - "size": { - "width": 208, - "height": 40 + "x": 1424, + "y": 544 } }, { - "id": "afbdd2f8-3ec2-4500-8ba2-318d3d22cad3", - "type": "basic.info", + "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", + "type": "basic.inputLabel", "data": { - "info": "Numero de slot", - "readonly": true + "name": "exec", + "blockColor": "darkgreen" }, "position": { - "x": 960, - "y": -48 - }, - "size": { - "width": 168, - "height": 40 + "x": 1768, + "y": 552 } }, { - "id": "1633035a-d6ed-4007-b7b4-8524fabc447f", - "type": "basic.info", + "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", + "type": "basic.outputLabel", "data": { - "info": "## Generar las señales de salida\n\nLas señales de salida se generan según el número de slot (h):\n\n* Slot 0: 250ns iniciales\n* Slots 1 y 2: Generar el pulso (500ns)\n* Slot 3: 250ns finales", - "readonly": true + "name": "done", + "blockColor": "springgreen" }, "position": { - "x": 1440, - "y": -240 - }, - "size": { - "width": 456, - "height": 136 + "x": 1072, + "y": 600 } }, { - "id": "62c40e9e-a05a-4111-b2c0-907160c55df0", - "type": "basic.info", + "id": "46c71683-c09b-4496-862d-267d2129dac0", + "type": "basic.outputLabel", "data": { - "info": "Flanco de bajada \nen E", - "readonly": true + "name": "max", + "blockColor": "fuchsia" }, "position": { - "x": 2496, - "y": 64 - }, - "size": { - "width": 176, - "height": 48 + "x": 1496, + "y": 608 } }, { - "id": "83b6658f-d387-4e80-bcd3-8c4a320d4e4c", - "type": "basic.info", + "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", + "type": "basic.outputLabel", "data": { - "info": "No conectado", - "readonly": true + "name": "next", + "blockColor": "fuchsia" }, "position": { - "x": 320, - "y": -152 - }, - "size": { - "width": 160, - "height": 40 + "x": 480, + "y": 656 } }, { - "id": "a371e5de-c3ba-47c3-aa7f-61cd41b15783", - "type": "basic.info", + "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", + "type": "basic.outputLabel", "data": { - "info": "Periodo en ciclos", - "readonly": true + "name": "next_ok", + "blockColor": "mediumvioletred" }, "position": { - "x": 160, - "y": 0 - }, - "size": { - "width": 160, - "height": 40 + "x": 1072, + "y": 664 } }, { - "id": "27bf274c-4365-42dd-8e09-e3a7a0520e09", - "type": "basic.info", + "id": "b979a290-8482-4816-8d68-f70939ce8171", + "type": "basic.inputLabel", "data": { - "info": "Dividir entre 4", - "readonly": true + "name": "max", + "blockColor": "fuchsia" }, "position": { - "x": 512, - "y": 472 - }, - "size": { - "width": 152, - "height": 40 + "x": 1368, + "y": 672 } }, { - "id": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "type": "0fa07340e795f699d6f370d550d2259f58dd3e21", - "position": { - "x": 688, - "y": 512 + "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", + "type": "basic.inputLabel", + "data": { + "name": "next_ok", + "blockColor": "mediumvioletred" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 760, + "y": 672 } }, { - "id": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "type": "582721ded9ae89aab6647702e561cbbd2c48e590", + "id": "482450af-9607-41e7-8b88-fce7c6db39c4", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, "position": { - "x": 512, - "y": 512 + "x": 480, + "y": 712 + } + }, + { + "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "type": "370abb401e524efd3125464b094b41328bfde9b4", + "position": { + "x": 1624, + "y": 536 }, "size": { "width": 96, @@ -21208,59 +19992,239 @@ } }, { - "id": "693e8bfe-ce67-4af7-a0eb-ee9a686a0d52", + "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1400, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", "type": "basic.info", "data": { - "info": "El bloque Sys-delay que usamos es de \nsólo 3 bits, para ahorrar espacio", + "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", "readonly": true }, "position": { - "x": 992, - "y": 528 + "x": 1448, + "y": -72 }, "size": { - "width": 344, - "height": 80 + "width": 232, + "height": 64 } }, { - "id": "ecfc40f5-9825-4adc-babb-2eeac5d27eb8", + "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 752, + "y": 248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 608, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 616, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", "type": "basic.info", "data": { - "info": "Periodo de E \nen **ciclos** (T)", + "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(max=1 while busy=1)", "readonly": true }, "position": { - "x": 368, - "y": 464 + "x": 880, + "y": -40 }, "size": { - "width": 168, + "width": 352, + "height": 88 + } + }, + { + "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 928, + "y": 120 + }, + "size": { + "width": 96, "height": 64 } }, { - "id": "70b1437c-859a-4d50-9532-8f516340b25a", + "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", "type": "basic.info", "data": { - "info": "El tiempo de cada slot \nes T/4", + "info": "Machine ON \nand Last cycle", "readonly": true }, "position": { - "x": 496, - "y": 592 + "x": 752, + "y": 336 }, "size": { - "width": 192, + "width": 160, + "height": 64 + } + }, + { + "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", + "type": "basic.info", + "data": { + "info": "Machine off", + "readonly": true + }, + "position": { + "x": 752, + "y": 200 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "00570e82-8758-4024-8196-b3ae57c2985f", + "type": "basic.info", + "data": { + "info": "Enable the start \nsignal", + "readonly": true + }, + "position": { + "x": 960, + "y": 200 + }, + "size": { + "width": 152, "height": 56 } }, { - "id": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "type": "d2486582507737ac129f542fe8d9dc8012c017de", + "id": "32c772b8-db82-47f0-b563-22e154322fff", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 1064, - "y": 264 + "x": 616, + "y": 672 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", + "type": "basic.info", + "data": { + "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", + "readonly": true + }, + "position": { + "x": 568, + "y": 552 + }, + "size": { + "width": 240, + "height": 80 + } + }, + { + "id": "001bf141-0507-4212-8797-a815960a0876", + "type": "basic.info", + "data": { + "info": "Count cycle: n \n* 0: Initial cycle\n* 3: Last cycle", + "readonly": true + }, + "position": { + "x": 1240, + "y": 712 + }, + "size": { + "width": 176, + "height": 72 + } + }, + { + "id": "b177799e-2dcd-4f59-9012-4518cce2e023", + "type": "basic.info", + "data": { + "info": "Last cycle\n(n=3)", + "readonly": true + }, + "position": { + "x": 1768, + "y": 440 + }, + "size": { + "width": 104, + "height": 48 + } + }, + { + "id": "b4c0c895-71eb-433d-8c53-333d684c887e", + "type": "basic.info", + "data": { + "info": "Not the last cycle \n(n<3) ", + "readonly": true + }, + "position": { + "x": 1792, + "y": 616 + }, + "size": { + "width": 184, + "height": 64 + } + }, + { + "id": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 1448, + "y": 56 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "type": "26d21035920a0c1886ec4c0d302c096845a923ab", + "position": { + "x": 1232, + "y": 584 }, "size": { "width": 96, @@ -21282,7 +20246,17 @@ }, { "source": { - "block": "85371dc0-f2f5-4ad8-85a4-81174321c4f1", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "port": "inlabel" + } + }, + { + "source": { + "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", "port": "outlabel" }, "target": { @@ -21292,1140 +20266,1005 @@ }, { "source": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "port": "out" }, "target": { - "block": "b3a2f878-0fff-4fe3-94c9-18a1a4ecafb2", + "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "4a238501-58e7-4093-be98-f52dad02f5ed", + "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", "port": "outlabel" }, "target": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "a409d207-7594-4558-8e15-89712262cf5b", - "size": 2 + "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "port": "in" }, - "vertices": [], - "size": 2 + "vertices": [] }, { "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79" - }, - "target": { - "block": "cd975fe0-262c-4217-b26b-f4231c341b2a", - "port": "inlabel" + "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "port": "outlabel" }, - "vertices": [] + "target": { + "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "port": "in" + } }, { "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "cc971e69-6c65-4476-a19a-ec91c3e995e3", + "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "size": 2 + "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", + "port": "outlabel" }, "target": { - "block": "95e3339b-7a06-4e83-8d47-2685027aa59a", - "port": "inlabel" + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "c6cd8ad2-63c9-432d-9d07-c15dde843e36" }, - "vertices": [], - "size": 2 + "vertices": [] }, { "source": { - "block": "ce94f684-4cfe-4764-b8ab-0d630bcb38c1", + "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", "port": "outlabel" }, "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "da04b44e-0a34-41cd-929a-85e6a8b9fb18", + "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", "port": "outlabel" }, "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba" + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "vertices": [] + "target": { + "block": "1619287c-6197-46f8-95b5-e0a8ac103782", + "port": "inlabel" + } }, { "source": { - "block": "97a1352f-3723-4569-a724-6d7e8e65dbe4", + "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", "port": "outlabel" }, "target": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "9ef3833c-d07c-40de-9654-6347ecb2b415", - "size": 2 - }, - "vertices": [], - "size": 2 + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } }, { "source": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, "target": { - "block": "9f718fb7-115d-4151-a088-bf4edeffc8d3", + "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", "port": "inlabel" - }, - "vertices": [] + } }, { "source": { - "block": "89f974f9-a1ec-43fb-aab8-37840e57e515", + "block": "906db813-b008-4932-a78c-39f15e593412", "port": "outlabel" }, "target": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "491d1334-5cd7-4e9e-b42e-21dc13fc8b31", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", + "port": "outlabel" }, "target": { - "block": "845e659b-d1fb-411f-83c7-421979af60a0", - "port": "inlabel" - }, - "vertices": [] + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "85bbd302-b76e-4ecc-9908-6e7baedc0c67", - "port": "out" + "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", + "port": "outlabel" }, "target": { - "block": "9a901beb-6a4b-452c-ae10-94d2934050ae", - "port": "inlabel" + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a79604fd-0250-4441-a526-65bed00007ba", + "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", "port": "outlabel" }, "target": { - "block": "3ec4634b-c97b-4e6c-b009-c09b7d8526c9", - "port": "in" + "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "1f410454-644a-4dd3-b626-27b642833012", - "port": "outlabel" + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "4397ff17-ea4d-45aa-9e65-2fc4645c56fc", - "port": "in" + "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", + "port": "inlabel" } }, { "source": { - "block": "9b5dd74e-a5ac-4ab6-9ff3-af5ace0e8d73", + "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", "port": "outlabel" }, "target": { - "block": "7c46b388-cac0-47cb-a14e-8e596d9822d9", - "port": "in" + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "4257b3cb-7350-44c5-85e5-b268731c9403", + "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", "port": "outlabel" }, "target": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "f4ac8fc2-14e4-4d92-9506-5f0f1bd5cf6e", + "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", "port": "outlabel" }, "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "0124987f-7749-493e-84ef-1f03b5fca187" + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "port": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "size": 3 + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "8550eb51-bbcb-494b-b1d1-9c32b8499242", + "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", "port": "inlabel" - }, - "vertices": [], - "size": 3 + } }, { "source": { - "block": "542753b4-d18d-4cae-b823-1e62a15408ff", - "port": "out", - "size": 4 + "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", + "port": "outlabel" }, "target": { - "block": "5a51839e-9918-4018-9120-de74b87deca0", - "port": "inlabel" - }, - "size": 4 + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "48b111a7-1476-4808-bbbb-310f82cc343d", + "block": "482450af-9607-41e7-8b88-fce7c6db39c4", "port": "outlabel" }, "target": { - "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "port": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", - "size": 4 - }, - "size": 4 + "block": "32c772b8-db82-47f0-b563-22e154322fff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "fd4837c4-8c3d-4f3e-95c5-248fc5adb98c", + "block": "c0f81a2d-40a8-4519-8066-cda7f2897e80", "port": "outlabel" }, "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "5ded0703-b257-4d63-923d-e5443d342fb3", - "size": 3 + "block": "0cb708b0-e5f3-4819-b693-6edc15f27663", + "port": "in", + "size": 2 }, - "vertices": [ - { - "x": 1000, - "y": 296 - } - ], - "size": 3 + "size": 2 }, { "source": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", + "port": "outlabel" }, "target": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + } }, { "source": { - "block": "c585b190-36f0-4577-8016-c5aabf1a0c1c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" }, "target": { - "block": "74f51491-7acf-4049-b9b1-09967c348f7a", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + "block": "b979a290-8482-4816-8d68-f70939ce8171", + "port": "inlabel" + } }, { "source": { - "block": "b15a8b6f-ccee-4c0c-9af5-d08d3478e9b0", - "port": "constant-out" + "block": "ff014c72-0ee7-4784-8d2a-47c9945e56dc", + "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "size": 2 }, "target": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "38335b0e-8d9b-4725-a45c-e0751762c6f8" + "block": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", + "port": "inlabel" }, - "vertices": [] + "size": 2 }, { "source": { - "block": "ae2051d7-7fd2-4813-bc3b-5eb57d325bf8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "46c71683-c09b-4496-862d-267d2129dac0", + "port": "outlabel" }, "target": { - "block": "ff784ae7-4b27-4080-877b-7252154a1c93", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } }, { "source": { - "block": "01e8de02-7f5e-46ab-9150-2e8a8701831f", - "port": "19fa817f-a4d6-4563-87d3-2a3a8756abe4" + "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "4dbefb3c-c676-4145-8e39-f27e41440ad4", - "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, "vertices": [ { - "x": 832, - "y": 296 + "x": 1544, + "y": 432 } ] }, { "source": { - "block": "f51bd08e-2556-4bdf-b7e2-a7ea190e39e8", - "port": "47e6d0c1-84e5-4710-8a74-901692817ca9" + "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "5f9ea2fe-4930-44f9-9a50-4d72512beec1", - "port": "745d3113-3b1f-499a-a414-00e638cd932b" + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "vertices": [], - "size": 4 + "target": { + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } } ] } } }, - "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { + "26d21035920a0c1886ec4c0d302c096845a923ab": { "package": { - "name": "Bus2-Split-all", - "version": "0.1", - "description": "Bus2-Split-all: Split the 2-bits bus into two wires", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Counter-rst-x02", + "version": "0.2", + "description": "Counter-rst-x02: 2-bits counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22340.958%22%20height=%22279.458%22%20viewBox=%220%200%2090.211745%2073.939987%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-55.5%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.785)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 }, "design": { "graph": { "blocks": [ { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", - "data": { - "name": "1" - }, - "position": { - "x": 552, - "y": 128 - } - }, - { - "id": "a409d207-7594-4558-8e15-89712262cf5b", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "clock": true }, "position": { - "x": 136, - "y": 184 + "x": 168, + "y": -208 } }, { - "id": "0f7487e5-b070-4277-bba6-acf69934afca", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "0" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 552, - "y": 240 + "x": 304, + "y": -208 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "type": "basic.input", "data": { - "code": "assign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } + "name": "rst", + "clock": false }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 208, - "height": 80 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "x": 168, + "y": -136 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "0f7487e5-b070-4277-bba6-acf69934afca", - "port": "in" + "position": { + "x": 312, + "y": -136 } }, { - "source": { - "block": "a409d207-7594-4558-8e15-89712262cf5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 2 - } - ] - } - } - }, - "4c73bd1cd0a1c2400416fb1d09b1dc76faf3501b": { - "package": { - "name": "Mach-count-2-bit", - "version": "0.2", - "description": "Mach-count-2-bit: 2-bit count machine", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621619608318 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", "type": "basic.outputLabel", "data": { - "name": "clk", "blockColor": "yellow", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1320, - "y": 8 + "x": 496, + "y": 24 } }, { - "id": "1619287c-6197-46f8-95b5-e0a8ac103782", - "type": "basic.inputLabel", + "id": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "type": "basic.output", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 1600, - "y": 72 + "x": 1176, + "y": 80 } }, { - "id": "906db813-b008-4932-a78c-39f15e593412", + "id": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", "type": "basic.outputLabel", "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1248, - "y": 72 + "x": 1008, + "y": 80 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", "data": { - "name": "busy" + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 2064, - "y": 88 + "x": 360, + "y": 96 } }, { - "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "id": "94fe2c8f-3a97-42fb-8346-977f7731ca64", "type": "basic.outputLabel", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1904, - "y": 88 + "x": 488, + "y": 96 } }, { - "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", + "id": "b10c8b56-197d-4efa-8511-172627cbe0ed", "type": "basic.outputLabel", "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 776, - "y": 104 + "x": 48, + "y": 152 } }, { - "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", + "id": "b8661772-15e0-411e-bcc1-716874795e2a", "type": "basic.inputLabel", "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1072, - "y": 120 + "x": 800, + "y": 168 } }, { - "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "type": "basic.outputLabel", + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "max" }, "position": { - "x": 1256, - "y": 128 + "x": 1176, + "y": 168 } }, { - "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", "type": "basic.outputLabel", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 472, - "y": 184 + "x": 1016, + "y": 168 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "cnt", + "clock": false }, "position": { - "x": 120, - "y": 200 + "x": 208, + "y": 312 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" - }, + "id": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", "position": { - "x": 272, - "y": 200 + "x": 200, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "type": "basic.output", - "data": { - "name": "n", - "virtual": true, - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "type": "4cc432f78b18cdc2f1f323515252828b321ff90d", "position": { - "x": 2064, - "y": 200 + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 } - }, + } + ], + "wires": [ { - "id": "c0f81a2d-40a8-4519-8066-cda7f2897e80", - "type": "basic.outputLabel", - "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 1904, - "y": 200 - } + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", - "type": "basic.output", - "data": { - "name": "exec" + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, - "position": { - "x": 2064, - "y": 280 + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "type": "basic.outputLabel", - "data": { - "name": "exec", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 2 }, - "position": { - "x": 1904, - "y": 280 - } + "target": { + "block": "b8661772-15e0-411e-bcc1-716874795e2a", + "port": "inlabel" + }, + "size": 2 }, { - "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "type": "basic.outputLabel", - "data": { - "name": "start_ok", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", + "port": "outlabel" }, - "position": { - "x": 1264, - "y": 280 - } + "target": { + "block": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "port": "in", + "size": 2 + }, + "size": 2 }, { - "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", - "type": "basic.input", - "data": { - "name": "nc", - "clock": false + "source": { + "block": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "port": "outlabel" }, - "position": { - "x": 120, - "y": 288 - } + "target": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "5e178803-3b15-4a9f-a784-a729479a892c", + "size": 2 + }, + "size": 2 }, { - "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 464, - "y": 304 + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" } }, { - "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "type": "basic.outputLabel", - "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" }, - "position": { - "x": 1264, - "y": 352 + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" } }, { - "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "type": "basic.output", - "data": { - "name": "done" + "source": { + "block": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "port": "out" }, - "position": { - "x": 2064, - "y": 360 - } + "target": { + "block": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "94fe2c8f-3a97-42fb-8346-977f7731ca64", + "port": "outlabel" }, - "position": { - "x": 1896, - "y": 360 + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 600, + "y": 160 + } + ] + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", + "source": { + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" + }, + "target": { + "block": "77dfd5fd-aa1a-4632-9698-465d5545c9f4", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "size": 2 + } + ] + } + } + }, + "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { + "package": { + "name": "Inc1-2bits", + "version": "0.1", + "description": "Inc1-2bit: Increment a 2-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "start", - "clock": false + "name": "c" }, "position": { - "x": 120, - "y": 376 + "x": 624, + "y": -168 } }, { - "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "type": "basic.inputLabel", + "id": "5e178803-3b15-4a9f-a784-a729479a892c", + "type": "basic.input", "data": { - "name": "start", - "blockColor": "orangered", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { "x": 272, - "y": 376 + "y": -152 } }, { - "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "type": "basic.outputLabel", + "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", + "type": "basic.output", "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 464, - "y": 384 + "x": 624, + "y": -104 } }, { - "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "type": "basic.input", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", "data": { - "name": "next", - "clock": false + "name": "", + "value": "1", + "local": true }, "position": { - "x": 120, - "y": 448 + "x": 456, + "y": -256 } }, { - "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "type": "basic.inputLabel", + "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "5e178803-3b15-4a9f-a784-a729479a892c", + "port": "out" + }, + "target": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" + }, + "size": 2 + }, + { + "source": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" + }, + "target": { + "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", + "port": "in" + }, + "size": 2 + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + } + ] + } + } + }, + "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { + "package": { + "name": "AdderK-2bits", + "version": "0.1", + "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "next", - "oldBlockColor": "fuchsia" + "name": "c" }, "position": { - "x": 272, - "y": 448 + "x": 624, + "y": -168 } }, { - "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "type": "basic.inputLabel", + "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "type": "basic.output", "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 1768, - "y": 464 + "x": 624, + "y": -104 } }, { - "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "type": "basic.outputLabel", + "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", + "type": "basic.input", "data": { - "name": "clk", - "blockColor": "yellow", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1072, - "y": 528 + "x": 296, + "y": -72 } }, { - "id": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", - "type": "basic.inputLabel", + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", "data": { - "name": "n", - "range": "[1:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1424, - "y": 544 + "x": 288, + "y": -272 } }, { - "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "type": "basic.inputLabel", - "data": { - "name": "exec", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", "position": { - "x": 1768, - "y": 552 + "x": 288, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, + "id": "3451f28c-1594-4ddd-86de-367246570bbd", + "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", "position": { - "x": 1072, - "y": 600 + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "46c71683-c09b-4496-862d-267d2129dac0", - "type": "basic.outputLabel", - "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1496, - "y": 608 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "type": "basic.outputLabel", - "data": { - "name": "next", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" }, - "position": { - "x": 480, - "y": 656 + "target": { + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { - "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "type": "basic.outputLabel", + "source": { + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" + }, + "target": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" + }, + "size": 2 + }, + { + "source": { + "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", + "port": "out" + }, + "target": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" + }, + "size": 2 + }, + { + "source": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" + }, + "target": { + "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "cea0c26776490be8bc88be8a8e38316b913c3f9d": { + "package": { + "name": "Adder-2bits", + "version": "0.1", + "description": "Adder-2bits: Adder of two operands of 2 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "c" }, "position": { - "x": 1072, - "y": 664 + "x": 672, + "y": -400 } }, { - "id": "b979a290-8482-4816-8d68-f70939ce8171", - "type": "basic.inputLabel", + "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", + "type": "basic.input", "data": { - "name": "max", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1368, - "y": 672 + "x": -56, + "y": -344 } }, { - "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "type": "basic.inputLabel", + "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "type": "basic.output", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 760, - "y": 672 + "x": 800, + "y": -272 } }, { - "id": "482450af-9607-41e7-8b88-fce7c6db39c4", - "type": "basic.outputLabel", + "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 480, - "y": 712 + "x": -64, + "y": -144 } }, { - "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "type": "370abb401e524efd3125464b094b41328bfde9b4", + "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1624, - "y": 536 + "x": 88, + "y": -344 }, "size": { "width": 96, @@ -22433,23 +21272,11 @@ } }, { - "id": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", - "position": { - "x": 1448, - "y": 56 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 1400, - "y": 296 + "x": 80, + "y": -144 }, "size": { "width": 96, @@ -22457,749 +21284,841 @@ } }, { - "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", - "type": "basic.info", - "data": { - "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", - "readonly": true - }, + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 1448, - "y": -72 + "x": 320, + "y": -144 }, "size": { - "width": 232, + "width": 96, "height": 64 } }, { - "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 752, - "y": 248 + "x": 472, + "y": -328 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "42fff3e2-b145-45d6-822e-c191bed120f5", + "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", "position": { - "x": 608, - "y": 184 + "x": 640, + "y": -272 }, "size": { "width": 96, "height": 64 } - }, + } + ], + "wires": [ { - "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 320 + "source": { + "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", + "port": "out" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 }, { - "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", - "type": "basic.info", - "data": { - "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(max=1 while busy=1)", - "readonly": true + "source": { + "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "port": "out" }, - "position": { - "x": 880, - "y": -40 + "target": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "a409d207-7594-4558-8e15-89712262cf5b" }, - "size": { - "width": 352, - "height": 88 - } + "size": 2 }, { - "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 928, - "y": 120 + "source": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", - "type": "basic.info", - "data": { - "info": "Machine ON \nand Last cycle", - "readonly": true - }, - "position": { - "x": 752, - "y": 336 + "source": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 160, - "height": 64 + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", - "type": "basic.info", - "data": { - "info": "Machine off", - "readonly": true - }, - "position": { - "x": 752, - "y": 200 + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 120, - "height": 40 + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { - "id": "00570e82-8758-4024-8196-b3ae57c2985f", - "type": "basic.info", - "data": { - "info": "Enable the start \nsignal", - "readonly": true + "source": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 960, - "y": 200 + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" }, - "size": { - "width": 152, - "height": 56 - } + "vertices": [ + { + "x": 264, + "y": -176 + } + ] }, { - "id": "32c772b8-db82-47f0-b563-22e154322fff", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 672 + "source": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", - "type": "basic.info", - "data": { - "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", - "readonly": true - }, - "position": { - "x": 568, - "y": 552 + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 240, - "height": 80 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "001bf141-0507-4212-8797-a815960a0876", - "type": "basic.info", - "data": { - "info": "Count cycle: n \n* 0: Initial cycle\n* 3: Last cycle", - "readonly": true - }, - "position": { - "x": 1240, - "y": 712 + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, - "size": { - "width": 176, - "height": 72 + "target": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" } }, { - "id": "b177799e-2dcd-4f59-9012-4518cce2e023", - "type": "basic.info", - "data": { - "info": "Last cycle\n(n=3)", - "readonly": true - }, - "position": { - "x": 1768, - "y": 440 + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, - "size": { - "width": 104, - "height": 48 + "target": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } }, { - "id": "b4c0c895-71eb-433d-8c53-333d684c887e", - "type": "basic.info", - "data": { - "info": "Not the last cycle \n(n<3) ", - "readonly": true + "source": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" }, - "position": { - "x": 1792, - "y": 616 + "target": { + "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "port": "in" }, - "size": { - "width": 184, - "height": 64 - } - }, - { - "id": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "type": "ebf9834f0b24c72d95466bb6910de786402ea59e", - "position": { - "x": 1232, - "y": 584 - }, - "size": { - "width": 96, - "height": 96 - } + "size": 2 } - ], - "wires": [ + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "vertices": [] + "position": { + "x": 280, + "y": -224 + } }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" }, - "target": { - "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "port": "inlabel" + "position": { + "x": 616, + "y": -176 } }, { - "source": { - "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "port": "outlabel" + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 280, + "y": -152 } }, { - "source": { - "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "port": "out" - }, - "target": { - "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "port": "inlabel" + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" }, - "vertices": [] + "position": { + "x": 616, + "y": -96 + } }, { - "source": { - "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "port": "outlabel" - }, - "target": { - "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", - "port": "in" + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 }, - "vertices": [] + "size": { + "width": 96, + "height": 96 + } }, { - "source": { - "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "port": "outlabel" + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 }, - "target": { - "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "port": "in" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, "target": { - "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "port": "inlabel" - }, - "vertices": [] + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } }, { "source": { - "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "port": "outlabel" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "c6cd8ad2-63c9-432d-9d07-c15dde843e36" - }, - "vertices": [] - }, - { - "source": { - "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "port": "outlabel" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "vertices": [ + { + "x": 400, + "y": -168 + } + ] }, { "source": { - "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "port": "outlabel" + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "1619287c-6197-46f8-95b5-e0a8ac103782", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "port": "outlabel" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" } - }, + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" }, - "target": { - "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "port": "inlabel" + "position": { + "x": 504, + "y": -208 } }, { - "source": { - "block": "906db813-b008-4932-a78c-39f15e593412", - "port": "outlabel" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 136, + "y": -192 } }, { - "source": { - "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "port": "outlabel" + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 272, + "y": -192 } }, { - "source": { - "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "port": "outlabel" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1112, + "y": -176 } }, { - "source": { - "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "port": "outlabel" + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" }, - "target": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 504, + "y": -144 } }, { - "source": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false }, - "target": { - "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "port": "inlabel" + "position": { + "x": 136, + "y": -120 } }, { - "source": { - "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "port": "outlabel" + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 272, + "y": -120 } }, { - "source": { - "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "port": "outlabel" + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 648, + "y": -96 } }, { - "source": { - "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "port": "outlabel" + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 136, + "y": -40 } }, { - "source": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, - "target": { - "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "port": "inlabel" + "position": { + "x": 272, + "y": -40 } }, { - "source": { - "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "port": "outlabel" + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 480, + "y": 24 } }, { - "source": { - "block": "482450af-9607-41e7-8b88-fce7c6db39c4", - "port": "outlabel" + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 992, + "y": 56 } }, { - "source": { - "block": "c0f81a2d-40a8-4519-8066-cda7f2897e80", - "port": "outlabel" - }, - "target": { - "block": "0cb708b0-e5f3-4819-b693-6edc15f27663", - "port": "in", - "size": 2 + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" }, - "size": 2 + "position": { + "x": 480, + "y": 88 + } }, { - "source": { - "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "port": "outlabel" + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + "position": { + "x": 648, + "y": 120 } }, { - "source": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 }, - "target": { - "block": "b979a290-8482-4816-8d68-f70939ce8171", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "8142576b-3432-404b-94c6-e3f3fedc56fa", - "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "size": 2 - }, - "target": { - "block": "3d3103e0-f8da-4bd6-b1d5-f3d5e519f044", - "port": "inlabel" + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 }, - "size": 2 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "46c71683-c09b-4496-862d-267d2129dac0", - "port": "outlabel" + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 }, - "vertices": [ - { - "x": 1544, - "y": 432 - } - ] + "size": { + "width": 96, + "height": 64 + } }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" } }, { "source": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" } }, { "source": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" }, "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", "port": "97b51945-d716-4b6c-9db9-970d08541249" } - } - ] - } - } - }, - "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae": { - "package": { - "name": "RS-FF-set", - "version": "0.1", - "description": "RS-FF-set. RS Flip-flop with priority set", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621864223514 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" }, - "position": { - "x": 216, - "y": -40 + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" }, - "position": { - "x": 368, - "y": -40 + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" }, - "position": { - "x": 1496, - "y": 40 + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "97800965-0802-4a8f-9ed0-e61db33ae442", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" }, - "position": { - "x": 1352, - "y": 40 + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 1008, - "y": 136 + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "4cc432f78b18cdc2f1f323515252828b321ff90d": { + "package": { + "name": "02-Reg-rst", + "version": "0.8", + "description": "02-Reg-rst: 2 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "set", - "clock": false + "name": "", + "clock": true }, "position": { - "x": 216, - "y": 136 + "x": 560, + "y": 64 } }, { - "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "type": "basic.inputLabel", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 384, - "y": 136 + "x": 1184, + "y": 72 } }, { - "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "type": "basic.inputLabel", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 384, - "y": 216 + "x": 1184, + "y": 144 } }, { - "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "type": "basic.input", "data": { - "name": "reset", + "name": "rst", "clock": false }, "position": { - "x": 224, - "y": 216 + "x": 560, + "y": 168 } }, { - "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "type": "basic.inputLabel", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "name": "", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 1304, - "y": 232 + "x": 1184, + "y": 224 } }, { - "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "type": "basic.outputLabel", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "q", - "oldBlockColor": "fuchsia" + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 640, - "y": 248 + "x": 560, + "y": 272 } }, { - "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "type": "basic.outputLabel", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "blockColor": "royalblue", - "name": "set", - "oldBlockColor": "fuchsia" + "name": "load", + "clock": false }, "position": { - "x": 816, - "y": 344 + "x": 560, + "y": 376 } }, { - "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "type": "basic.outputLabel", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "blockColor": "navy", - "name": "reset", - "oldBlockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 648, - "y": 344 + "x": 1184, + "y": 376 } }, { - "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", "type": "basic.constant", "data": { "name": "", @@ -23207,531 +22126,313 @@ "local": false }, "position": { - "x": 1176, - "y": 136 - } - }, - { - "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 968, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "8d656647-f4ea-475e-b4c1-54787973b618", - "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", - "position": { - "x": 800, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 800, - "y": 232 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 632, - "y": 136 - }, - "size": { - "width": 96, - "height": 64 + "x": 864, + "y": -80 } }, { - "id": "864d85b3-242c-408a-9e20-fb4931e68f70", - "type": "basic.info", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "info": "Priority on set", - "readonly": true - }, - "position": { - "x": 1000, - "y": 344 + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[1:0]", + "size": 2 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[1:0]", + "size": 2 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 2;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, - "size": { - "width": 184, - "height": 32 - } - }, - { - "id": "97ed2911-3339-463d-9f82-db46d4404419", - "type": "db33ba62b645fbf5ad349b666b64662512b13cdd", "position": { - "x": 1176, - "y": 232 + "x": 720, + "y": 40 }, "size": { - "width": 96, - "height": 64 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", - "port": "outlabel" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" }, "vertices": [] }, { "source": { - "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", - "port": "outlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" }, - "vertices": [] + "size": 2 }, { "source": { - "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", - "port": "outlabel" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - }, - "vertices": [] + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" + } }, { "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, - "vertices": [ - { - "x": 1128, - "y": 208 - } - ] + "size": 2 }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "port": "out" }, "target": { - "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", - "port": "inlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { "source": { - "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "block": "f1022de4-0225-43d7-92d3-451161ee6942", "port": "out" }, "target": { - "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", - "port": "inlabel" - } - }, - { - "source": { - "block": "97800965-0802-4a8f-9ed0-e61db33ae442", - "port": "outlabel" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } - }, - { - "source": { - "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", - "port": "constant-out" - }, - "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" - }, - "vertices": [] - }, - { - "source": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "97ed2911-3339-463d-9f82-db46d4404419", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - }, - { - "source": { - "block": "8d656647-f4ea-475e-b4c1-54787973b618", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] - }, - { - "source": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] - }, - { - "source": { - "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] } ] } } }, - "db33ba62b645fbf5ad349b666b64662512b13cdd": { + "076e4991a6a72fa34566f59fae65e81138cbffda": { "package": { - "name": "sys-DFF", - "version": "2.1", - "description": "System - D Flip-flop. Capture data every system clock cycle", + "name": "Sys-Delay-xN-3bits", + "version": "0.3", + "description": "Sys-Delay-xN-3bits: The input tic is delayed N cycles", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 }, "design": { "graph": { "blocks": [ { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "name": "state", + "blockColor": "navy" }, "position": { - "x": 208, - "y": 160 + "x": 1104, + "y": 40 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "" + "name": "busy" }, "position": { - "x": 816, - "y": 224 + "x": 1264, + "y": 40 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "id": "0124987f-7749-493e-84ef-1f03b5fca187", "type": "basic.input", "data": { "name": "", - "clock": false + "clock": true }, "position": { - "x": 208, - "y": 304 + "x": 112, + "y": 64 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 512, + "x": 248, "y": 64 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", "data": { - "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 384, - "y": 168 - }, - "size": { - "width": 344, - "height": 176 + "x": 400, + "y": 80 } }, { - "id": "53d11290-50b3-40fb-b253-222cb296b075", - "type": "basic.info", + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", "data": { - "info": "Parameter: Initial value", - "readonly": true + "name": "state", + "blockColor": "navy" }, "position": { - "x": 488, - "y": 32 - }, - "size": { - "width": 208, - "height": 40 + "x": 696, + "y": 144 } }, { - "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", - "type": "basic.info", + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", "data": { - "info": "System clock", - "readonly": true + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 208, - "y": 136 - }, - "size": { - "width": 120, - "height": 32 + "x": 400, + "y": 144 } }, { - "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", - "type": "basic.info", + "id": "5ded0703-b257-4d63-923d-e5443d342fb3", + "type": "basic.input", "data": { - "info": "Input data", - "readonly": true + "name": "N", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 224, - "y": 280 - }, - "size": { - "width": 112, - "height": 40 + "x": 104, + "y": 152 } }, { - "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", - "type": "basic.info", + "id": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", + "type": "basic.inputLabel", "data": { - "info": "Output", - "readonly": true + "name": "N", + "range": "[2:0]", + "blockColor": "darkgreen", + "size": 3 }, "position": { - "x": 840, - "y": 200 - }, - "size": { - "width": 80, - "height": 40 + "x": 240, + "y": 152 } }, { - "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", - "type": "basic.info", + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", "data": { - "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", - "readonly": true + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 144, - "y": -136 - }, - "size": { - "width": 488, - "height": 104 - } - } - ], - "wires": [ - { - "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" - }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } - }, - { - "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "x": 400, + "y": 208 } }, { - "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" - }, - "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } - } - ] - } - } - }, - "ebf9834f0b24c72d95466bb6910de786402ea59e": { - "package": { - "name": "Counter-rst-x02", - "version": "0.1", - "description": "Counter-rst-x02: 2-bits counter with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22340.958%22%20height=%22279.458%22%20viewBox=%220%200%2090.211745%2073.939987%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-55.5%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.785)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "name": "n", + "range": "[2:0]", + "blockColor": "springgreen", + "size": 3 }, "position": { - "x": 168, - "y": -208 + "x": 1112, + "y": 240 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "a4f0683c-ab82-451f-93f7-d4766d423c6a", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "n", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 304, - "y": -208 + "x": 1264, + "y": 240 } }, { - "id": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", "type": "basic.input", "data": { - "name": "rst", + "name": "start", "clock": false }, "position": { - "x": 168, - "y": -136 + "x": 104, + "y": 248 } }, { - "id": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", - "oldBlockColor": "fuchsia" + "name": "start", + "blockColor": "fuchsia" }, "position": { - "x": 312, - "y": -136 + "x": 240, + "y": 248 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", "type": "basic.outputLabel", "data": { "blockColor": "yellow", @@ -23739,725 +22440,878 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 496, - "y": 24 + "x": 568, + "y": 288 } }, { - "id": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "type": "basic.output", + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 1176, - "y": 80 + "x": 568, + "y": 360 } }, { - "id": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", - "type": "basic.outputLabel", + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "done" }, "position": { - "x": 1008, - "y": 80 + "x": 1272, + "y": 376 } }, { - "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "type": "basic.inputLabel", + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "max" + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 360, - "y": 96 + "x": 1104, + "y": 376 } }, { - "id": "94fe2c8f-3a97-42fb-8346-977f7731ca64", - "type": "basic.outputLabel", + "id": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "type": "basic.inputLabel", "data": { - "blockColor": "red", - "name": "rst", - "oldBlockColor": "fuchsia" + "name": "n", + "range": "[2:0]", + "blockColor": "springgreen", + "size": 3 }, "position": { - "x": 488, - "y": 96 + "x": 912, + "y": 376 } }, { - "id": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "id": "8d295d72-5e92-4790-afc9-0c9be1b1c655", "type": "basic.outputLabel", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "N", + "range": "[2:0]", + "blockColor": "darkgreen", + "size": 3 }, "position": { - "x": 48, - "y": 152 + "x": 568, + "y": 424 } }, { - "id": "b8661772-15e0-411e-bcc1-716874795e2a", + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", "type": "basic.inputLabel", "data": { - "name": "q", - "range": "[1:0]", - "blockColor": "fuchsia", - "size": 2 + "name": "max", + "blockColor": "lightgray" }, "position": { - "x": 808, - "y": 152 + "x": 912, + "y": 440 } }, { - "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", - "type": "basic.output", + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", "data": { - "name": "max" + "name": "state", + "blockColor": "navy" }, "position": { - "x": 1176, - "y": 168 + "x": 568, + "y": 496 } }, { - "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", - "type": "basic.outputLabel", + "id": "70ef2353-3812-45c6-ba9f-e427dfefd973", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "max" + "name": "INIT", + "value": "1", + "local": true }, "position": { - "x": 1016, - "y": 168 + "x": 744, + "y": 280 } }, { - "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", - "type": "basic.input", + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", "data": { - "name": "cnt", - "clock": false + "info": "System clock", + "readonly": true }, "position": { - "x": 208, - "y": 312 + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 } }, { - "id": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, "position": { - "x": 200, - "y": 152 + "x": 112, + "y": 224 }, "size": { - "width": 96, - "height": 64 + "width": 128, + "height": 40 } }, { - "id": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "type": "4a9bbe24e5e4ea1ed74bfa1dce7eee78f69eaa19", + "id": "29ef30d2-5a8e-484a-88bf-2923c96d0cc0", + "type": "basic.info", + "data": { + "info": "Current working cycle: 1-3", + "readonly": true + }, "position": { - "x": 632, - "y": 120 + "x": 1136, + "y": 192 }, "size": { - "width": 96, - "height": 128 + "width": 224, + "height": 40 } - } - ], - "wires": [ + }, { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "position": { + "x": 112, + "y": 136 }, - "vertices": [] + "size": { + "width": 152, + "height": 40 + } }, { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true }, - "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 } }, { - "source": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", - "size": 2 + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true }, - "target": { - "block": "b8661772-15e0-411e-bcc1-716874795e2a", - "port": "inlabel" + "position": { + "x": 1240, + "y": -8 }, - "size": 2 + "size": { + "width": 192, + "height": 40 + } }, { - "source": { - "block": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", - "port": "outlabel" + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true }, - "target": { - "block": "40941bd2-014e-4fca-8f0f-7769b8567ac0", - "port": "in", - "size": 2 + "position": { + "x": 584, + "y": 240 }, - "size": 2 + "size": { + "width": 120, + "height": 32 + } }, { - "source": { - "block": "b10c8b56-197d-4efa-8511-172627cbe0ed", - "port": "outlabel" + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true }, - "target": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "5e178803-3b15-4a9f-a784-a729479a892c", - "size": 2 + "position": { + "x": 520, + "y": 8 }, - "size": 2 + "size": { + "width": 224, + "height": 72 + } }, { - "source": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "5ded0cb9-7da5-4360-a398-bcccdd86ba2d", + "type": "basic.info", + "data": { + "info": "2-bits counter", + "readonly": true }, - "target": { - "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "port": "inlabel" + "position": { + "x": 744, + "y": 512 + }, + "size": { + "width": 160, + "height": 32 } }, { - "source": { - "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", - "port": "outlabel" + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true }, - "target": { - "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", - "port": "in" + "position": { + "x": 840, + "y": 552 + }, + "size": { + "width": 208, + "height": 72 } }, { - "source": { - "block": "c6cd8ad2-63c9-432d-9d07-c15dde843e36", - "port": "out" - }, + "id": "2a740374-b37a-43be-a1ee-d3156db6698e", + "type": "basic.info", + "data": { + "info": "Initial value", + "readonly": true + }, + "position": { + "x": 856, + "y": 304 + }, + "size": { + "width": 144, + "height": 40 + } + }, + { + "id": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "type": "43276d299252b2ff74b309e99c9d9117fd63c2bb", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, "target": { - "block": "765ed162-2aeb-4b2c-b7d1-253ac0f89a1c", + "block": "12f80074-62b7-463f-8191-1301ae02e38b", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "94fe2c8f-3a97-42fb-8346-977f7731ca64", + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", "port": "outlabel" }, "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "64b7a923-b0af-4e51-89b5-56bfb3073921" + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" }, "vertices": [ { - "x": 600, - "y": 160 + "x": 528, + "y": 128 } ] }, { "source": { - "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", - "port": "out" + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" }, "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + "block": "d7d04de5-3193-439c-89df-ffa91d3e8b15", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, { "source": { - "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", - "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" }, "target": { - "block": "4b4e7c1e-a737-4e36-b3ad-339a66dafd5c", - "port": "17fa5936-7abd-4a84-944a-0c758720bdcf" - }, - "size": 2 - } - ] - } - } - }, - "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { - "package": { - "name": "Inc1-2bits", - "version": "0.1", - "description": "Inc1-2bit: Increment a 2-bits number by one", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" }, - "position": { - "x": 624, - "y": -168 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "5e178803-3b15-4a9f-a784-a729479a892c", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" }, - "position": { - "x": 272, - "y": -152 + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" } }, { - "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "type": "basic.output", - "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" }, - "position": { - "x": 624, - "y": -104 - } + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] }, { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", - "data": { - "name": "", - "value": "1", - "local": true + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" }, - "position": { - "x": 456, - "y": -256 - } + "target": { + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] }, { - "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", - "position": { - "x": 456, - "y": -152 + "source": { + "block": "5ded0703-b257-4d63-923d-e5443d342fb3", + "port": "out", + "size": 3 }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ + "target": { + "block": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", + "port": "inlabel" + }, + "size": 3 + }, { "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "a4f0683c-ab82-451f-93f7-d4766d423c6a", + "port": "in", + "size": 3 + }, + "size": 3 }, { "source": { - "block": "5e178803-3b15-4a9f-a784-a729479a892c", - "port": "out" + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "size": 3 }, "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" + "block": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "port": "inlabel" }, - "size": 2 + "size": 3 }, { "source": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" + "block": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "port": "outlabel" }, "target": { - "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", - "port": "in" + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", + "size": 3 }, - "size": 2 + "size": 3 }, { "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "block": "70ef2353-3812-45c6-ba9f-e427dfefd973", "port": "constant-out" }, "target": { - "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + "block": "2a877f9e-2ef1-4336-b692-ec153f9f5007", + "port": "e1493cee-3497-43e8-83c4-65fcadb5c96a" } } ] } } }, - "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { + "43276d299252b2ff74b309e99c9d9117fd63c2bb": { "package": { - "name": "AdderK-2bits", - "version": "0.1", - "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", + "name": "Counter-M-rst-x03", + "version": "0.3", + "description": "Counter-M-x03: 3-bits M module counter with reset", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, "design": { "graph": { "blocks": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "c" + "name": "", + "clock": true }, "position": { - "x": 624, - "y": -168 + "x": -24, + "y": -296 } }, { - "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 624, - "y": -104 + "x": 104, + "y": -296 } }, { - "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "type": "basic.input", + "id": "8ebe14ec-a9f3-470e-9e9e-1ae4211adf04", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, "position": { - "x": 296, - "y": -72 + "x": 1016, + "y": -280 } }, { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", + "id": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "type": "basic.output", "data": { "name": "", - "value": "0", - "local": false + "range": "[2:0]", + "size": 3 }, "position": { - "x": 288, - "y": -272 + "x": 1176, + "y": -280 } }, { - "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", - "position": { - "x": 288, - "y": -168 + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -24, + "y": -232 } }, { - "id": "3451f28c-1594-4ddd-86de-367246570bbd", - "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", - "position": { - "x": 456, - "y": -152 + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 104, + "y": -232 } - } - ], - "wires": [ + }, { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 1176, + "y": -208 } }, { - "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" }, - "target": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "position": { + "x": 1016, + "y": -208 } }, { - "source": { - "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" + "id": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", + "type": "basic.input", + "data": { + "name": "max", + "range": "[2:0]", + "clock": false, + "size": 3 }, - "size": 2 + "position": { + "x": -24, + "y": -160 + } }, { - "source": { - "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", - "port": "out" - }, - "target": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" + "id": "4c3b12b9-7b2a-45bf-af35-dcdd09b13004", + "type": "basic.inputLabel", + "data": { + "name": "maxi", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "size": 2 + "position": { + "x": 104, + "y": -160 + } }, { - "source": { - "block": "3451f28c-1594-4ddd-86de-367246570bbd", - "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" - }, - "target": { - "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { - "package": { - "name": "2-bits-gen-constant", - "version": "0.0.2", - "description": "Generic: 2-bits generic constant (0,1,2,3)", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "type": "basic.output", + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "cnt", + "clock": false }, "position": { - "x": 952, - "y": 248 + "x": -24, + "y": -96 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": false + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" }, "position": { - "x": 728, - "y": 128 + "x": 104, + "y": -96 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[1:0]", - "size": 2 - } - ] - } + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 208, - "height": 64 + "x": 488, + "y": 0 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 304, + "y": 40 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "id": "5c4d1632-84f3-4f4b-a2b7-e0ddb75c8091", + "type": "basic.outputLabel", + "data": { + "name": "maxi", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, - "target": { - "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", - "port": "in" + "position": { + "x": 736, + "y": 48 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" }, - "size": 2 - } - ] - } - } - }, - "cea0c26776490be8bc88be8a8e38316b913c3f9d": { - "package": { - "name": "Adder-2bits", - "version": "0.1", - "description": "Adder-2bits: Adder of two operands of 2 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 32, + "y": 136 + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", "data": { - "name": "c" + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 672, - "y": -400 + "x": 1056, + "y": 152 } }, { - "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", - "type": "basic.input", + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": -56, - "y": -344 + "x": 32, + "y": 192 } }, { - "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "type": "basic.output", + "id": "3fed749c-9501-4fc1-9581-d14943bf632c", + "type": "basic.outputLabel", "data": { - "name": "s", - "range": "[1:0]", - "size": 2 + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 }, "position": { - "x": 800, - "y": -272 + "x": 168, + "y": 264 } }, { - "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "type": "basic.input", + "id": "54b05785-4b42-4865-a1f8-56ac74a42c9b", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[2:0]", + "blockColor": "fuchsia", + "size": 3 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 480, + "y": 336 + } + }, + { + "id": "e1493cee-3497-43e8-83c4-65fcadb5c96a", + "type": "basic.constant", "data": { "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "value": "0", + "local": false }, "position": { - "x": -64, - "y": -144 + "x": 632, + "y": 16 } }, { - "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", + "id": "5147675d-38b3-4889-b4c5-9996cb982a1d", + "type": "basic.info", + "data": { + "info": "2-bits Comparator", + "readonly": true + }, "position": { - "x": 88, - "y": -344 + "x": 888, + "y": 232 }, "size": { - "width": 96, - "height": 64 + "width": 176, + "height": 40 } }, { - "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 1072, + "y": 104 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "2-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 248 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, "position": { "x": 80, - "y": -144 + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 }, "size": { "width": 96, @@ -24465,11 +23319,11 @@ } }, { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 320, - "y": -144 + "x": 440, + "y": 136 }, "size": { "width": 96, @@ -24477,178 +23331,301 @@ } }, { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, "position": { - "x": 472, - "y": -328 + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "type": "18457bd686e8b20fc5d40286f87f8393f6e52a51", + "position": { + "x": 896, + "y": 152 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "42fff3e2-b145-45d6-822e-c191bed120f5", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", + "id": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "type": "097e2f8e1d86d74bb4b81e142ef453a72f74dab2", "position": { - "x": 640, - "y": -272 + "x": 320, + "y": 264 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "type": "94f5ce7eee54d456c6cfe8c626023547cbad8b21", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } } ], "wires": [ { "source": { - "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, - "size": 2 + "vertices": [] }, { "source": { - "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", - "port": "out" + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, "target": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" }, - "size": 2 + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } }, { "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" } }, { "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" }, "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" } }, { "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" }, "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" }, "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "a6379dec-cc99-4d86-9a9b-4663cc88ff2a", + "port": "out", + "size": 3 + }, + "target": { + "block": "4c3b12b9-7b2a-45bf-af35-dcdd09b13004", + "port": "inlabel" + }, + "size": 3 + }, + { + "source": { + "block": "5c4d1632-84f3-4f4b-a2b7-e0ddb75c8091", + "port": "outlabel" + }, + "target": { + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "size": 3 }, "vertices": [ { - "x": 264, - "y": -176 + "x": 848, + "y": 112 } - ] + ], + "size": 3 }, { "source": { - "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "3fed749c-9501-4fc1-9581-d14943bf632c", + "port": "outlabel" }, "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } + "block": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "port": "65ab43b8-eebf-407e-a137-91891b821643", + "size": 3 + }, + "size": 3 }, { "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "8ebe14ec-a9f3-470e-9e9e-1ae4211adf04", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "65f9e76c-3d15-4219-a694-1bfd55bb7ee8", + "port": "in", + "size": 3 + }, + "size": 3 }, { "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 3 }, "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "54b05785-4b42-4865-a1f8-56ac74a42c9b", + "port": "inlabel" + }, + "size": 3 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" } }, { "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "42fff3e2-b145-45d6-822e-c191bed120f5", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5" }, "target": { - "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", - "port": "in" + "block": "af7f10e9-ddf5-4d59-b029-32ec0699e39c", + "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" }, - "size": 2 + "size": 3 + }, + { + "source": { + "block": "e6ba14a1-984c-4a7d-a24b-82916641e7dc", + "port": "f854d138-c12e-4178-8523-b71331cd29a6" + }, + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 560, + "y": 264 + } + ], + "size": 3 + }, + { + "source": { + "block": "e1493cee-3497-43e8-83c4-65fcadb5c96a", + "port": "constant-out" + }, + "target": { + "block": "58a3fb43-724f-4e52-b64a-1a8ce6b51cc4", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } } ] } } }, - "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "097e2f8e1d86d74bb4b81e142ef453a72f74dab2": { "package": { - "name": "Adder-1bit", + "name": "Inc1-3bits", "version": "0.1", - "description": "Adder-1bit: Adder of two operands of 1 bit", + "description": "Inc1-3bit: Increment a 3-bits number by one", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", "otid": 1618560481898 }, "design": { "graph": { "blocks": [ - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 280, - "y": -224 - } - }, { "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", @@ -24656,51 +23633,56 @@ "name": "c" }, "position": { - "x": 616, - "y": -176 + "x": 624, + "y": -168 } }, { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "id": "65ab43b8-eebf-407e-a137-91891b821643", "type": "basic.input", "data": { "name": "", - "clock": false + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 280, + "x": 272, "y": -152 } }, { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "id": "f854d138-c12e-4178-8523-b71331cd29a6", "type": "basic.output", "data": { - "name": "s" + "name": "s", + "range": "[2:0]", + "size": 3 }, "position": { - "x": 616, + "x": 632, "y": -96 } }, { - "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, "position": { "x": 456, - "y": -168 - }, - "size": { - "width": 96, - "height": 96 + "y": -256 } }, { - "id": "e80cc244-1751-4524-9f77-734a36b24a88", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "id": "34e391c9-ac69-470b-863d-5482a02b3438", + "type": "75e5396495e2cfaa31e14ecf930abc2d3bdd3866", "position": { - "x": 304, - "y": -64 + "x": 456, + "y": -152 }, "size": { "width": 96, @@ -24711,43 +23693,142 @@ "wires": [ { "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "b476ef80-6022-4dd3-962e-9efc90d730c5" }, - "vertices": [ - { - "x": 400, - "y": -168 - } - ] + "target": { + "block": "f854d138-c12e-4178-8523-b71331cd29a6", + "port": "in" + }, + "size": 3 }, { "source": { - "block": "e80cc244-1751-4524-9f77-734a36b24a88", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "65ab43b8-eebf-407e-a137-91891b821643", + "port": "out" }, "target": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "block": "34e391c9-ac69-470b-863d-5482a02b3438", + "port": "9f76c005-39aa-4ac8-842a-6249a18711c8" + }, + "size": 3 + } + ] + } + } + }, + "75e5396495e2cfaa31e14ecf930abc2d3bdd3866": { + "package": { + "name": "AdderK-3bits CLONE", + "version": "0.1", + "description": "AdderK-3bit: Adder of 3-bit operand and 3-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "b476ef80-6022-4dd3-962e-9efc90d730c5", + "type": "basic.output", + "data": { + "name": "s", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 624, + "y": -64 + } + }, + { + "id": "9f76c005-39aa-4ac8-842a-6249a18711c8", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 304, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 288, + "y": -272 + } + }, + { + "id": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "position": { + "x": 288, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 } }, + { + "id": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "type": "4898bbaf0126034e1995d1777b4faa914cf1ee3a", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { @@ -24757,23 +23838,56 @@ }, { "source": { - "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" }, "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" + "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } + }, + { + "source": { + "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", + "port": "50004d4c-3153-4049-88f7-bad5e748383b" + }, + "target": { + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "c776ec7e-ada9-42ea-be1c-5104577d4e5e" + }, + "size": 3 + }, + { + "source": { + "block": "9f76c005-39aa-4ac8-842a-6249a18711c8", + "port": "out" + }, + "target": { + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "2f4012a8-b7ac-481d-9158-bfeb88e44d67" + }, + "size": 3 + }, + { + "source": { + "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", + "port": "f33cb471-7816-45bf-b02b-a6c46f66a592" + }, + "target": { + "block": "b476ef80-6022-4dd3-962e-9efc90d730c5", + "port": "in" + }, + "size": 3 } ] } } }, - "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "4898bbaf0126034e1995d1777b4faa914cf1ee3a": { "package": { - "name": "AdderC-1bit", + "name": "Adder-3bits", "version": "0.1", - "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "description": "Adder-3bits: Adder of two operands of 3 bits", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1618560481898 @@ -24782,35 +23896,24 @@ "graph": { "blocks": [ { - "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a" - }, - "position": { - "x": 504, - "y": -208 - } - }, - { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "a", - "clock": false + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 136, - "y": -192 + "x": 456, + "y": -584 } }, { - "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a", + "blockColor": "deeppink", + "name": "a2", "pins": [ { "index": "0", @@ -24818,11 +23921,12 @@ "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 272, - "y": -192 + "x": -32, + "y": -568 } }, { @@ -24832,40 +23936,43 @@ "name": "c" }, "position": { - "x": 1112, - "y": -176 + "x": 944, + "y": -552 } }, { - "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "b" + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 504, - "y": -144 + "x": 456, + "y": -528 } }, { - "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "id": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", "type": "basic.input", "data": { - "name": "b", - "clock": false + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 136, - "y": -120 + "x": -312, + "y": -496 } }, { - "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "b", + "blockColor": "deeppink", + "name": "a1", "pins": [ { "index": "0", @@ -24873,44 +23980,79 @@ "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 272, - "y": -120 + "x": -32, + "y": -496 } }, { - "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "ci", + "blockColor": "deeppink", + "name": "a1", "oldBlockColor": "fuchsia" }, "position": { - "x": 648, - "y": -96 + "x": 328, + "y": -440 } }, { - "id": "5e915366-608a-431a-bf68-8c64fb4c302c", - "type": "basic.input", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", "data": { - "name": "ci", - "clock": false - }, - "position": { - "x": 136, - "y": -40 - } + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -432 + } }, { - "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "f33cb471-7816-45bf-b02b-a6c46f66a592", + "type": "basic.output", + "data": { + "name": "s", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 952, + "y": -352 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { - "blockColor": "navy", - "name": "ci", + "blockColor": "fuchsia", + "name": "b2", "pins": [ { "index": "0", @@ -24922,64 +24064,97 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 272, - "y": -40 + "x": -32, + "y": -304 } }, { - "id": "79149d67-753e-414e-ba2a-49ba4edfe523", - "type": "basic.outputLabel", + "id": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "a" + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 }, "position": { - "x": 480, - "y": 24 + "x": -320, + "y": -232 } }, { - "id": "c7d2d573-b901-420e-a923-6832e5b18e34", - "type": "basic.output", + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", "data": { - "name": "s" + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 992, - "y": 56 + "x": -32, + "y": -232 } }, { - "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -192 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "b" + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 88 + "x": -32, + "y": -160 } }, { - "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "ci", + "blockColor": "fuchsia", + "name": "b0", "oldBlockColor": "fuchsia" }, "position": { - "x": 648, - "y": 120 + "x": 184, + "y": -128 } }, { - "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 648, - "y": 40 + "x": 320, + "y": -144 }, "size": { "width": 96, @@ -24987,199 +24162,274 @@ } }, { - "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 832, - "y": 56 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 808, - "y": -80 + "x": -176, + "y": -248 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "id": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", "position": { - "x": 632, - "y": -192 + "x": -168, + "y": -512 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "dd3259d5-99f6-47f2-881c-4e969e324444", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "id": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", "position": { - "x": 960, - "y": -176 + "x": 792, + "y": -368 }, "size": { "width": 96, - "height": 64 + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", - "port": "inlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", - "port": "out" + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" } }, { "source": { - "block": "5e915366-608a-431a-bf68-8c64fb4c302c", - "port": "out" + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "block": "b652825e-10ba-47cc-9832-e39d73586234", "port": "inlabel" } }, { "source": { - "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "port": "outlabel" }, "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "port": "outlabel" }, "target": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", - "port": "outlabel" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" } }, { "source": { - "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "port": "outlabel" }, "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", - "port": "outlabel" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { "source": { - "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", - "port": "outlabel" + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" } }, { "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" } }, { "source": { - "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" }, "target": { - "block": "c7d2d573-b901-420e-a923-6832e5b18e34", - "port": "in" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" }, "target": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" } }, { "source": { - "block": "dd3259d5-99f6-47f2-881c-4e969e324444", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", + "port": "out" + }, + "target": { + "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + }, + "size": 3 + }, + { + "source": { + "block": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", + "port": "out" + }, + "target": { + "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + }, + "size": 3 + }, + { + "source": { + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + }, + "target": { + "block": "f33cb471-7816-45bf-b02b-a6c46f66a592", + "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", @@ -25190,761 +24440,893 @@ } } }, - "4a9bbe24e5e4ea1ed74bfa1dce7eee78f69eaa19": { + "a9cd03ae467d8a8ae5c39a91ed8fd8478be8ba8d": { "package": { - "name": "reg-rst-2-bits", - "version": "0.1", - "description": "reg-rst-2-bits: 2-bits register with reset", + "name": "Mach-count-1-bit CLONE", + "version": "0.1-c1712772857545", + "description": "Mach-count-1-bit: 1-bit count machine", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621769699463 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621619608318 }, "design": { "graph": { "blocks": [ { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "61ba2c62-b78e-4f65-9484-27e6d81094e6", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "fuchsia", + "name": "active", + "oldBlockColor": "fuchsia" }, "position": { - "x": 216, - "y": -144 + "x": 1696, + "y": -200 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "id": "af92d0e4-a0aa-43dc-8f5a-de8524249c5b", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "blockColor": "fuchsia", + "name": "busy" }, "position": { - "x": 368, - "y": -144 + "x": 1976, + "y": -184 } }, { - "id": "64b7a923-b0af-4e51-89b5-56bfb3073921", - "type": "basic.input", + "id": "c56d6dd4-f3bb-4ac0-ac4a-d70ad1b02c00", + "type": "basic.outputLabel", "data": { - "name": "rst", - "clock": false + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 224, - "y": -64 + "x": 1696, + "y": -136 } }, { - "id": "1dd13da9-98e7-470e-be72-531ccee14128", - "type": "basic.inputLabel", + "id": "ee67b705-f182-4e1c-82e6-cef45666d6bc", + "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "start" }, "position": { - "x": 368, + "x": 1032, "y": -64 } }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", + "id": "b84a8242-1202-4de4-9dfc-c57bd913610a", + "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "fuchsia", + "name": "start_ok", "oldBlockColor": "fuchsia" }, "position": { - "x": 624, - "y": 16 + "x": 1296, + "y": -48 } }, { - "id": "2249fbd9-40b6-447f-ad45-e4a2ea0a880c", + "id": "d64cc42c-1819-4270-995b-e42db40d302b", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst", + "blockColor": "fuchsia", + "name": "active", "oldBlockColor": "fuchsia" }, "position": { - "x": 624, - "y": 80 + "x": 904, + "y": 8 } }, { - "id": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", - "type": "basic.output", + "id": "ed7abb79-44b5-4398-97cb-5a0182e44dfa", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1128, - "y": 144 + "x": 1704, + "y": 64 } }, { - "id": "17fa5936-7abd-4a84-944a-0c758720bdcf", - "type": "basic.input", + "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", + "type": "basic.inputLabel", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, "position": { - "x": 296, - "y": 160 + "x": 1968, + "y": 80 } }, { - "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", - "type": "basic.outputLabel", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "load" + "name": "", + "clock": true }, "position": { - "x": 640, - "y": 208 + "x": 608, + "y": 120 } }, { - "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", - "type": "basic.outputLabel", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "name": "clk" }, "position": { - "x": 616, - "y": 320 + "x": 760, + "y": 120 } }, { - "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "load", - "clock": false + "name": "busy" }, "position": { - "x": 288, - "y": 376 + "x": 2416, + "y": 128 } }, { - "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", - "type": "basic.inputLabel", + "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", + "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, + "name": "busy", "oldBlockColor": "fuchsia" }, "position": { - "x": 440, - "y": 376 + "x": 2256, + "y": 128 } }, { - "id": "9ba88fff-f2b3-4376-ad11-64be4a6e1759", + "id": "10124738-5323-44ff-8f23-f2430083f8e4", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst", + "blockColor": "yellow", + "name": "clk", "oldBlockColor": "fuchsia" }, "position": { - "x": 616, - "y": 400 + "x": 1400, + "y": 144 } }, { - "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "id": "61ad7f04-a3f8-4d22-95c6-05ee25dd6c38", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "load" + "name": "next", + "oldBlockColor": "fuchsia" }, "position": { - "x": 632, - "y": 536 + "x": 952, + "y": 160 } }, { - "id": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 472, - "y": 160 + "id": "6d26e5bf-bb52-4797-b881-19124b63b68e", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1216, + "y": 176 } }, { - "id": "659270b8-d9b7-46e2-86f9-580171779367", - "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", - "position": { - "x": 944, - "y": 144 + "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", + "type": "basic.input", + "data": { + "name": "nc", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 608, + "y": 208 } }, { - "id": "547473d7-29d9-4697-8983-429b347922bc", - "type": "b4edc817686236764cdf30f2c2206e765952b653", - "position": { - "x": 776, - "y": 96 + "id": "fd81fcda-6150-473e-9d4c-95db6ec4eda3", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 1400, + "y": 216 } }, { - "id": "a90e3c07-a891-4f91-905f-fcd938557de9", - "type": "b4edc817686236764cdf30f2c2206e765952b653", - "position": { - "x": 776, - "y": 424 + "id": "b0568fe2-4827-41e1-b889-4241bfc90419", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "busy", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 952, + "y": 224 } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "id": "dc17afec-aa65-46e8-99c5-c70bc3d1799f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "position": { + "x": 1880, + "y": 232 } }, { - "source": { - "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", - "port": "outlabel" + "id": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "type": "basic.output", + "data": { + "name": "n" }, - "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "position": { + "x": 2416, + "y": 240 } }, { - "source": { - "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", - "port": "out" + "id": "58724402-b8ba-4209-ae9d-8275c0de2b9b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", - "port": "inlabel" + "position": { + "x": 2256, + "y": 240 } }, { - "source": { - "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", - "port": "outlabel" + "id": "45926847-7f36-4863-8d25-893e6630cecd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "position": { + "x": 1568, + "y": 280 } }, { - "source": { - "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", - "port": "outlabel" + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + "position": { + "x": 608, + "y": 296 } }, { - "source": { - "block": "64b7a923-b0af-4e51-89b5-56bfb3073921", - "port": "out" + "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" }, - "target": { - "block": "1dd13da9-98e7-470e-be72-531ccee14128", - "port": "inlabel" + "position": { + "x": 760, + "y": 296 } }, { - "source": { - "block": "2249fbd9-40b6-447f-ad45-e4a2ea0a880c", - "port": "outlabel" - }, - "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "777058f2-0fa7-483a-a4c6-d977275cfd9b" + "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "type": "basic.output", + "data": { + "name": "exec" }, - "vertices": [ - { - "x": 736, - "y": 136 - } - ] + "position": { + "x": 2416, + "y": 320 + } }, { - "source": { - "block": "9ba88fff-f2b3-4376-ad11-64be4a6e1759", - "port": "outlabel" - }, - "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "777058f2-0fa7-483a-a4c6-d977275cfd9b" + "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "vertices": [ - { - "x": 736, - "y": 456 - } - ] + "position": { + "x": 2256, + "y": 320 + } }, { - "source": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "e6c9d51a-cd5c-4f64-b629-37ed7c75bcf7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 960, + "y": 336 + } }, { - "source": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "vertices": [ - { - "x": 592, - "y": 384 - } - ] + "position": { + "x": 608, + "y": 368 + } }, { - "source": { - "block": "547473d7-29d9-4697-8983-429b347922bc", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 760, + "y": 368 + } }, { - "source": { - "block": "a90e3c07-a891-4f91-905f-fcd938557de9", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [] + "position": { + "x": 2416, + "y": 400 + } }, { - "source": { - "block": "17fa5936-7abd-4a84-944a-0c758720bdcf", - "port": "out" - }, - "target": { - "block": "f1333f17-cf2e-4864-a08b-1feea0e948ae", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "size": 2 + "position": { + "x": 2248, + "y": 400 + } }, { - "source": { - "block": "659270b8-d9b7-46e2-86f9-580171779367", - "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" - }, - "target": { - "block": "c5552c7d-fb01-40a0-bc51-a755b6e1ec54", - "port": "in" - }, - "size": 2 - } - ] - } - } - }, - "b4edc817686236764cdf30f2c2206e765952b653": { - "package": { - "name": "1-bit-reg-rst", - "version": "0.1-c1635681163248", - "description": "1-bit-Reg-rst: 1-Bit register with reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", + "id": "c59290d9-2242-453b-b722-6d2d507f6e4c", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "fuchsia", + "name": "start_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 16, - "y": 424 + "x": 960, + "y": 400 } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "id": "e1b4f344-603b-4b4e-80f9-03ee7b3df04d", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "blockColor": "fuchsia", + "name": "cycle0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 160, - "y": 424 + "x": 1264, + "y": 400 } }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", + "id": "a3276eb6-ba44-4d91-844f-5c3de9417778", "type": "basic.outputLabel", "data": { "blockColor": "yellow", - "name": "clk" - }, - "position": { - "x": 648, - "y": 480 - } - }, - { - "id": "777058f2-0fa7-483a-a4c6-d977275cfd9b", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 24, - "y": 520 + "x": 1400, + "y": 416 } }, { - "id": "93ff6a9e-0c86-4c44-9ece-d1699b4e4262", - "type": "basic.inputLabel", + "id": "3f2a48ec-ac4e-4865-b63e-048a825d2c53", + "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "blockColor": "fuchsia", + "name": "n", + "oldBlockColor": "fuchsia" }, "position": { - "x": 176, - "y": 520 + "x": 1808, + "y": 456 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "c501b28d-cccf-42c7-99cf-2669dc3e3fb7", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1248, - "y": 544 + "x": 960, + "y": 464 } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", + "id": "7409290a-c71e-4650-bffc-e77c6c07461d", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "curr_bit", + "name": "active", "oldBlockColor": "fuchsia" }, "position": { - "x": 1104, - "y": 544 + "x": 2072, + "y": 472 } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "id": "b979a290-8482-4816-8d68-f70939ce8171", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "curr_bit", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, + "name": "n", "oldBlockColor": "fuchsia" }, "position": { - "x": 928, - "y": 544 + "x": 1664, + "y": 472 } }, { - "id": "25162946-773d-4787-b6e8-ce9585816d0b", + "id": "92535f4b-5bd1-46f4-97d4-f01932501660", "type": "basic.outputLabel", "data": { - "blockColor": "red", - "name": "rst" + "blockColor": "fuchsia", + "name": "next_ok", + "oldBlockColor": "fuchsia" }, "position": { - "x": 648, - "y": 544 + "x": 1400, + "y": 488 } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "id": "0cde18d1-d480-4084-a4ee-f57b860c4326", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "curr_bit", + "name": "cycle0", "oldBlockColor": "fuchsia" }, "position": { - "x": 368, - "y": 552 + "x": 1816, + "y": 512 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false - }, + "id": "8ff834bd-7de7-429a-881b-e68400210f9d", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 24, - "y": 608 + "x": 1088, + "y": 176 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "id": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1840, + "y": 80 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "type": "6307bdc146f25113ff69e50b291ecd299537326f", "position": { - "x": 176, - "y": 608 + "x": 1704, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", + "id": "0498cfeb-ab4b-4cdf-90c0-36773fd83a09", + "type": "basic.info", "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "info": "If the machine is active, no more start signals \nare allowed to enter", + "readonly": true }, "position": { - "x": 368, - "y": 616 + "x": 1048, + "y": -112 + }, + "size": { + "width": 376, + "height": 48 } }, { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", + "id": "575c54ca-58c7-42af-b1f5-dc43e001d640", + "type": "basic.info", "data": { - "blockColor": "darkgreen", - "name": "load", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deepskyblue" + "info": "Execution tic: \n* One tic per state", + "readonly": true }, "position": { - "x": 176, - "y": 688 + "x": 2072, + "y": 64 + }, + "size": { + "width": 176, + "height": 48 } }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", + "id": "ed0677fe-f7f6-4680-922f-95872b35b061", + "type": "basic.info", "data": { - "name": "load", - "clock": false + "info": "1-bit counter", + "readonly": true }, "position": { - "x": 24, - "y": 688 + "x": 1544, + "y": 416 + }, + "size": { + "width": 136, + "height": 32 } }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", + "id": "9ae84f4f-08f3-486c-8d3f-d6b85690e0a9", + "type": "basic.info", "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" + "info": "1-to-2 Demux", + "readonly": true }, "position": { - "x": 368, - "y": 688 + "x": 1704, + "y": 184 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", + "id": "23e29108-ca6c-4bac-a3d8-ae86ee560c87", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "Turn off \nthe machine", + "readonly": true }, "position": { - "x": 792, - "y": 432 + "x": 1904, + "y": 192 + }, + "size": { + "width": 120, + "height": 56 } }, { - "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", + "id": "6b26c534-dcbb-417a-824a-438cc910a4af", "type": "basic.info", "data": { - "info": "Mux 2-1", + "info": "n=1", "readonly": true }, "position": { - "x": 552, - "y": 712 + "x": 1832, + "y": 200 }, "size": { - "width": 104, + "width": 64, "height": 40 } }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", + "id": "950dfd41-91ee-43a2-9841-a52fc7c7f3e4", "type": "basic.info", "data": { - "info": "D Flip-flip\n(System)", + "info": "n=0", "readonly": true }, "position": { - "x": 800, - "y": 640 + "x": 1840, + "y": 288 }, "size": { - "width": 120, - "height": 48 + "width": 64, + "height": 40 } }, { - "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", + "id": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 528, - "y": 600 + "x": 1168, + "y": -48 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "05a951eb-4ced-4661-939b-47effc852dae", - "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "id": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 792, + "x": 1032, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1944, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 1840, + "y": -184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "da53c1b2-2052-49b5-8b4a-b31912e69d4b", + "type": "basic.info", + "data": { + "info": "The next signal can only go inside the machine \nif it is busy", + "readonly": true + }, + "position": { + "x": 992, + "y": 112 + }, + "size": { + "width": 376, + "height": 48 + } + }, + { + "id": "44970ae0-d560-441c-9463-5e31536ead32", + "type": "basic.info", + "data": { + "info": "The machine is busy from the very \nbegining in which the start_ok \nsignal arrives", + "readonly": true + }, + "position": { + "x": 1464, + "y": -168 + }, + "size": { + "width": 280, + "height": 64 + } + }, + { + "id": "bb8ecfa0-cfc9-4bc8-a1fb-d44f7d6e7f9b", + "type": "basic.info", + "data": { + "info": "Initial state cycle \n(n = 0)", + "readonly": true + }, + "position": { + "x": 1136, + "y": 320 + }, + "size": { + "width": 176, + "height": 56 + } + }, + { + "id": "64356a5a-dffd-49a4-8aa9-db26478ab0af", + "type": "basic.info", + "data": { + "info": "When the next_ok signal is received \nthe initial cycles is finished", + "readonly": true + }, + "position": { + "x": 960, "y": 528 }, + "size": { + "width": 288, + "height": 48 + } + }, + { + "id": "13b1e87b-c4f0-46b1-aff9-48521b124b0d", + "type": "basic.info", + "data": { + "info": "When the next_ok is received the counter is \nincreased", + "readonly": true + }, + "position": { + "x": 1448, + "y": 552 + }, + "size": { + "width": 344, + "height": 56 + } + }, + { + "id": "b24d0714-ab1d-4a51-809a-aad63af1d224", + "type": "basic.info", + "data": { + "info": "The machine is active: either in the first \nstate cycle (n=0) or the next cycles (n=1)", + "readonly": true + }, + "position": { + "x": 1832, + "y": 392 + }, + "size": { + "width": 344, + "height": 56 + } + }, + { + "id": "e839d5d4-8947-4830-b645-da6fe84791c9", + "type": "basic.info", + "data": { + "info": "Wait one cycle for the \ncounter to be updated", + "readonly": true + }, + "position": { + "x": 1520, + "y": 104 + }, + "size": { + "width": 200, + "height": 48 + } + }, + { + "id": "4fab77d5-51e6-4bf8-9a43-ec5c546d0277", + "type": "basic.info", + "data": { + "info": "The next_ok signal output either as the \nexec signal (when n=1) or as the done signal \nwhen (n = 0)", + "readonly": true + }, + "position": { + "x": 1496, + "y": 16 + }, + "size": { + "width": 336, + "height": 80 + } + }, + { + "id": "f9a7c98d-11d8-43a5-8557-267655776120", + "type": "basic.info", + "data": { + "info": "Then count machine has three states: \n\n* Idle: The machine is off, waiting to receive the start signal \n* n = 0: Initial state cycle. It goes from receiveing the start signal until \n the first next signal arrives\n* n = 1: second state cycle. Fron the first next signal to the second", + "readonly": true + }, + "position": { + "x": 512, + "y": -256 + }, + "size": { + "width": 600, + "height": 120 + } + }, + { + "id": "4af366b0-662d-4be0-99be-d7a446534965", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1528, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", + "position": { + "x": 1528, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 1120, + "y": 384 + }, "size": { "width": 96, "height": 96 @@ -25954,582 +25336,408 @@ "wires": [ { "source": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "block": "0a772657-8018-424d-8f04-75d3ffff3692", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", + "port": "inlabel" + } + }, + { + "source": { + "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", "port": "outlabel" }, "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "58724402-b8ba-4209-ae9d-8275c0de2b9b", + "port": "outlabel" + }, + "target": { + "block": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "port": "in" }, "vertices": [] }, { "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", "port": "out" }, "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", + "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", "port": "outlabel" }, "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" - } + "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "port": "in" + }, + "vertices": [] }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "61ad7f04-a3f8-4d22-95c6-05ee25dd6c38", + "port": "outlabel" }, "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" - } + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] }, { "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", + "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", "port": "inlabel" - } + }, + "vertices": [] }, { "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "block": "a3276eb6-ba44-4d91-844f-5c3de9417778", "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "block": "10124738-5323-44ff-8f23-f2430083f8e4", "port": "outlabel" }, "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "4af366b0-662d-4be0-99be-d7a446534965", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", "port": "outlabel" }, "target": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 488, - "y": 600 - } - ] + "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", + "port": "in" + } }, { "source": { - "block": "777058f2-0fa7-483a-a4c6-d977275cfd9b", - "port": "out" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" }, "target": { - "block": "93ff6a9e-0c86-4c44-9ece-d1699b4e4262", + "block": "dc17afec-aa65-46e8-99c5-c70bc3d1799f", "port": "inlabel" } }, { "source": { - "block": "25162946-773d-4787-b6e8-ce9585816d0b", + "block": "c59290d9-2242-453b-b722-6d2d507f6e4c", "port": "outlabel" }, "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "e6c9d51a-cd5c-4f64-b629-37ed7c75bcf7", + "port": "outlabel" }, "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" + "block": "b0568fe2-4827-41e1-b889-4241bfc90419", + "port": "outlabel" }, "target": { - "block": "05a951eb-4ced-4661-939b-47effc852dae", - "port": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7" - } - } - ] - } - } - }, - "2be0f80ece8db75007def664695ef5f257f88b99": { - "package": { - "name": "DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 200, - "y": -264 + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "source": { + "block": "ee67b705-f182-4e1c-82e6-cef45666d6bc", + "port": "outlabel" }, - "position": { - "x": 352, - "y": -264 + "target": { + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "source": { + "block": "d64cc42c-1819-4270-995b-e42db40d302b", + "port": "outlabel" }, - "position": { - "x": 584, - "y": -128 + "target": { + "block": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 856, - "y": -56 + "target": { + "block": "af92d0e4-a0aa-43dc-8f5a-de8524249c5b", + "port": "inlabel" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "61ba2c62-b78e-4f65-9484-27e6d81094e6", + "port": "outlabel" }, - "position": { - "x": 200, - "y": -56 + "target": { + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", - "data": { - "name": "d", - "clock": false + "source": { + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 200, - "y": 80 + "target": { + "block": "7409290a-c71e-4650-bffc-e77c6c07461d", + "port": "inlabel" } }, { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 712, - "y": -160 + "target": { + "block": "b84a8242-1202-4de4-9dfc-c57bd913610a", + "port": "inlabel" } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", - "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", - "readonly": true - }, - "position": { - "x": 184, - "y": -120 + "source": { + "block": "c56d6dd4-f3bb-4ac0-ac4a-d70ad1b02c00", + "port": "outlabel" }, - "size": { - "width": 272, - "height": 48 + "target": { + "block": "247814e3-743f-420b-89a1-cbd4638d2fdd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 360, - "y": -56 + "source": { + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "b979a290-8482-4816-8d68-f70939ce8171", + "port": "inlabel" } }, { - "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 536, - "y": -40 + "source": { + "block": "8ff834bd-7de7-429a-881b-e68400210f9d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "6d26e5bf-bb52-4797-b881-19124b63b68e", + "port": "inlabel" } }, { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", - "data": { - "info": "Data input", - "readonly": true - }, - "position": { - "x": 216, - "y": 56 + "source": { + "block": "fd81fcda-6150-473e-9d4c-95db6ec4eda3", + "port": "outlabel" }, - "size": { - "width": 104, - "height": 40 + "target": { + "block": "4af366b0-662d-4be0-99be-d7a446534965", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", - "data": { - "info": "System clock", - "readonly": true - }, - "position": { - "x": 208, - "y": -304 + "source": { + "block": "92535f4b-5bd1-46f4-97d4-f01932501660", + "port": "outlabel" }, - "size": { - "width": 144, - "height": 40 + "target": { + "block": "438d9c8a-e31d-4a4b-9f69-c6f4a97d80ac", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" } }, { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", - "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 720, - "y": -200 + "source": { + "block": "c501b28d-cccf-42c7-99cf-2669dc3e3fb7", + "port": "outlabel" }, - "size": { - "width": 152, - "height": 64 + "target": { + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", - "position": { - "x": 712, - "y": -56 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" + "block": "ed7abb79-44b5-4398-97cb-5a0182e44dfa", + "port": "outlabel" }, "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" - }, - "vertices": [] + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" + "block": "5de47b5c-45d4-4d99-a29c-ca767ef12da8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "block": "e1b4f344-603b-4b4e-80f9-03ee7b3df04d", + "port": "inlabel" } }, { "source": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "3f2a48ec-ac4e-4865-b63e-048a825d2c53", + "port": "outlabel" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "0cde18d1-d480-4084-a4ee-f57b860c4326", + "port": "outlabel" }, "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + "block": "0061b19f-e760-4ad0-a4f3-2d9f6eae1e40", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "45926847-7f36-4863-8d25-893e6630cecd", + "port": "outlabel" }, "target": { - "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" } }, { "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "port": "out" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" }, "target": { - "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "block": "c9a135d9-2cfc-431d-ae1b-f485f23d19c8", "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + }, + "vertices": [] }, { "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "block": "4af366b0-662d-4be0-99be-d7a446534965", "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "338057af-f3d5-4c3f-bf45-e5641c0aa3d4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" + "block": "60e13df1-5d0c-4623-b9e9-d1ef1fbbc91a", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "block": "b7ec5918-4000-415b-b6cf-f1e293de30ae", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "93c5332100dac9394e2631de4a8fcdcbc727bc54": { + "6307bdc146f25113ff69e50b291ecd299537326f": { "package": { - "name": "Comp1-x02", + "name": "DeMux-1-2", "version": "0.1", - "description": "Comp1-x02: Comparator of 2-bits operand and parameter", + "description": "1-to-2 DeMultplexer (1-bit channels)", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618596618675 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "input", + "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 344 + "x": 744, + "y": 368 } }, { - "id": "9ef3833c-d07c-40de-9654-6347ecb2b415", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 - }, - "position": { - "x": 496, - "y": 432 - } - }, - { - "id": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 520, - "y": 224 - } - }, - { - "id": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "type": "e5bbf41d78133bc689da8710862f21529dc57878", - "position": { - "x": 720, - "y": 344 + "clock": false }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", "position": { - "x": 520, - "y": 328 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "38335b0e-8d9b-4725-a45c-e0751762c6f8", - "port": "constant-out" - }, - "target": { - "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [] - }, - { - "source": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "x": 136, + "y": 416 } }, { - "source": { - "block": "bfae4198-5ef6-4a2f-8018-faf003f1f784", - "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" - }, - "target": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "cafb11f4-8366-4ea4-96dc-09421b1ab171" - }, - "size": 2 - }, - { - "source": { - "block": "9ef3833c-d07c-40de-9654-6347ecb2b415", - "port": "out" - }, - "target": { - "block": "21c61064-4fc5-4b5d-932f-2cb951c7e59c", - "port": "4e04cf57-df6a-4731-8426-5d45850cbc29" - }, - "size": 2 - } - ] - } - } - }, - "e5bbf41d78133bc689da8710862f21529dc57878": { - "package": { - "name": "comp2-2bits", - "version": "0.1", - "description": "Comp2-2bit: Comparator of two 2-bit numbers", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "a1", + "name": "input", "pins": [ { "index": "0", @@ -26537,58 +25745,31 @@ "value": "NULL" } ], - "virtual": true - }, - "position": { - "x": 680, - "y": 168 - } - }, - { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "a1" - }, - "position": { - "x": 904, - "y": 176 - } - }, - { - "id": "cafb11f4-8366-4ea4-96dc-09421b1ab171", - "type": "basic.input", - "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 368, - "y": 208 + "x": 272, + "y": 416 } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "b1", - "oldBlockColor": "fuchsia" + "name": "1" }, "position": { - "x": 904, - "y": 248 + "x": 1032, + "y": 424 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "a0", + "name": "sel", "pins": [ { "index": "0", @@ -26596,192 +25777,93 @@ "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 256 + "x": 272, + "y": 528 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", "data": { - "name": "" + "name": "sel", + "clock": false }, "position": { - "x": 1368, - "y": 320 + "x": 136, + "y": 528 } }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", + "id": "6daf7ae7-6704-44ee-b291-2e8188102286", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "a0", + "name": "input", "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 344 + "x": 752, + "y": 544 } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", - "type": "basic.inputLabel", + "id": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "0" }, "position": { - "x": 688, - "y": 360 + "x": 1040, + "y": 600 } }, { - "id": "4e04cf57-df6a-4731-8426-5d45850cbc29", - "type": "basic.input", + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[1:0]", - "clock": false, - "size": 2 + "blockColor": "fuchsia", + "name": "sel", + "oldBlockColor": "fuchsia" }, "position": { - "x": 376, - "y": 408 + "x": 464, + "y": 648 } }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" + "id": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 888, + "y": 424 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 904, - "y": 416 + "x": 624, + "y": 648 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 688, - "y": 456 - } - }, - { - "id": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 536, - "y": 208 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", - "position": { - "x": 536, - "y": 408 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 400 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", - "type": "basic.info", - "data": { - "info": "A", - "readonly": true - }, - "position": { - "x": 416, - "y": 184 - }, - "size": { - "width": 80, - "height": 32 - } - }, - { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", - "type": "basic.info", - "data": { - "info": "B", - "readonly": true - }, - "position": { - "x": 416, - "y": 384 - }, - "size": { - "width": 80, - "height": 32 - } - }, - { - "id": "77ea015e-6eb5-4458-9077-72372bf94173", + "id": "c24fb0bc-5a03-4597-b2a8-8249e2725341", "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 1208, - "y": 320 + "x": 888, + "y": 600 }, "size": { "width": 96, @@ -26792,535 +25874,594 @@ "wires": [ { "source": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" - }, - "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" - } - }, - { - "source": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" - }, - "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", - "port": "inlabel" - } - }, - { - "source": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", "port": "inlabel" } }, { "source": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", "port": "inlabel" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "block": "6daf7ae7-6704-44ee-b291-2e8188102286", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", + "block": "8d94a294-a698-43c5-9777-874fd39b8586", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "block": "8d94a294-a698-43c5-9777-874fd39b8586", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" - } - }, - { - "source": { - "block": "cafb11f4-8366-4ea4-96dc-09421b1ab171", - "port": "out" - }, - "target": { - "block": "f277057e-1724-474c-809a-69ebfd6fb1cc", - "port": "a409d207-7594-4558-8e15-89712262cf5b" - }, - "size": 2 - }, - { - "source": { - "block": "4e04cf57-df6a-4731-8426-5d45850cbc29", - "port": "out" - }, - "target": { - "block": "68d2bf05-602c-42b9-9b4c-0304125215d8", - "port": "a409d207-7594-4558-8e15-89712262cf5b" + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "size": 2 + "vertices": [ + { + "x": 584, + "y": 544 + } + ] }, { "source": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", + "block": "b800aada-bfb1-4bbf-bc5e-140fff7323aa", "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", "port": "in" } }, { "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "798f4215-8ebd-4cdc-9532-7b34129244f8", + "port": "in" } }, { "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "76ece969-b03a-4732-b32b-2a6cab98acd8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "77ea015e-6eb5-4458-9077-72372bf94173", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "c24fb0bc-5a03-4597-b2a8-8249e2725341", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "0fa07340e795f699d6f370d550d2259f58dd3e21": { + "b7f7136a87c607769a64233fd99bc12818a05746": { "package": { - "name": "Bus4-Split-1-3", - "version": "0.1", - "description": "Bus4-Split-1-3: Split the 4-bits bus into two: 1-bit and 3-bits buses", + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 }, "design": { "graph": { "blocks": [ { - "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "type": "basic.output", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "1" + "name": "", + "clock": true }, "position": { - "x": 560, - "y": 80 + "x": 328, + "y": -80 } }, { - "id": "745d3113-3b1f-499a-a414-00e638cd932b", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "" }, "position": { - "x": 136, - "y": 184 + "x": 904, + "y": -8 } }, { - "id": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "type": "basic.output", + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "type": "basic.input", "data": { - "name": "0", - "range": "[2:0]", - "size": 3 + "name": "", + "clock": false }, "position": { - "x": 600, - "y": 208 + "x": 320, + "y": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[3];\nassign o0 = i[2:0];", - "params": [], + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 624, + "y": -248 + } + }, + { + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "type": "basic.code", + "data": { "ports": { "in": [ { - "name": "i", - "range": "[3:0]", - "size": 4 + "name": "clk" + }, + { + "name": "t" } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[2:0]", - "size": 3 + "name": "q" } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 296, - "y": 176 + "x": 496, + "y": -120 }, "size": { - "width": 224, - "height": 80 + "width": 352, + "height": 288 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" }, "target": { - "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", - "port": "in" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "e8f81f7e-2a1e-45be-9ae3-1172273c9809", - "port": "in" - }, - "size": 3 + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" + } }, { "source": { - "block": "745d3113-3b1f-499a-a414-00e638cd932b", + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" + } + }, + { + "source": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" }, - "size": 4 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "582721ded9ae89aab6647702e561cbbd2c48e590": { + "8a23ffd0491010ff595493182b82c722bd6902d7": { "package": { - "name": "Div4-uint-4bits", - "version": "0.1", - "description": "Div4-unit-4bits: Divide a 4-bits uint number by 4", + "name": "DFF-verilog", + "version": "0.2", + "description": "DFF. D Flip-flop. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22339.186%22%20height=%22183.786%22%20viewBox=%220%200%20317.98705%20172.30008%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-468.41%22%20y=%2243.877%22%20font-size=%2237.5%22%20font-family=%22sans-serif%22%20writing-mode=%22vertical-lr%22%20stroke-width=%22.938%22/%3E%3Cg%20style=%22line-height:1.25%22%20transform=%22translate(17.31%20-17.61)%22%20font-size=%22190.376%22%20fill=%22#00f%22%20stroke-width=%224.759%22%3E%3Cpath%20d=%22M-7.935%20111.638h147.779%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%2218.75%22%20stroke-linecap=%22round%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%2258.129%22%20r=%2224.417%22/%3E%3Ccircle%20cx=%2265.954%22%20cy=%22165.148%22%20r=%2224.417%22/%3E%3C/g%3E%3Cpath%20d=%22M251.399%2036.583l-48.701%2072.129h48.7zM244.013%200h49.393v108.712h24.582v32.198h-24.582v31.39H251.4v-31.39H175v-38.084z%22%20font-size=%22236.35%22%20stroke-width=%225.441%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22/%3E%3C/svg%3E", - "otid": 1622709944841 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1594812046378 }, "design": { "graph": { "blocks": [ { - "id": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", "type": "basic.input", "data": { "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "clock": true }, "position": { - "x": 480, - "y": 272 + "x": 128, + "y": 424 } }, { - "id": "47e6d0c1-84e5-4710-8a74-901692817ca9", - "type": "basic.output", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "", - "range": "[3:0]", - "size": 4 + "name": "d", + "clock": false }, "position": { - "x": 984, - "y": 272 + "x": 128, + "y": 536 } }, { - "id": "c2696cd3-b7a7-42b8-ad2b-58e136fc1cfd", - "type": "basic.info", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "info": "It is implemented by shifthing 2 bits to the right", - "readonly": true + "name": "" }, "position": { - "x": 528, - "y": 176 - }, - "size": { - "width": 424, - "height": 40 + "x": 824, + "y": 536 } }, { - "id": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", + "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, "position": { - "x": 640, - "y": 272 + "x": 128, + "y": 648 + } + }, + { + "id": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 488, + "y": 280 } }, { - "id": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "type": "bb3c16ee131504e1eb5a52aebaf459263bf9f239", + "id": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, "position": { - "x": 808, - "y": 272 + "x": 320, + "y": 400 }, "size": { - "width": 96, - "height": 64 + "width": 424, + "height": 336 } } ], "wires": [ { "source": { - "block": "7bf3e596-e99a-4a38-8ec4-0276d7c8c496", + "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", "port": "out" }, "target": { - "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" - }, - "size": 4 + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "clk" + } }, { "source": { - "block": "05a806e3-c61d-4d3d-95a5-660042b7c80b", - "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" }, "target": { - "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "port": "bd4f8bd4-1168-45de-9e31-9285fc5df114" + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "d" + } + }, + { + "source": { + "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "port": "out" }, - "size": 4 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "load" + } }, { "source": { - "block": "c6e4fc8c-8bf3-4b65-9e45-bbfc5f026b32", - "port": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00" + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "q" }, "target": { - "block": "47e6d0c1-84e5-4710-8a74-901692817ca9", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" + } + }, + { + "source": { + "block": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "port": "constant-out" }, - "size": 4 + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "INI" + } } ] } } }, - "bb3c16ee131504e1eb5a52aebaf459263bf9f239": { + "8d66187a7f8e3ffab330c0980473cb3427f8a5a6": { "package": { - "name": "SR1-unit4", - "version": "0.1", - "description": "SR1-uint4: Shift a 4-bit value one bit right. MSB is filled with 0 (no sign used)", + "name": "08-Reg", + "version": "0.8", + "description": "08-Reg: 8 bits Register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22298.966%22%20height=%22164.122%22%20viewBox=%220%200%20280.28048%20153.86437%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-570.306)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-704.69)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-251.299)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22700%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%221.809%22%3E%3Cpath%20d=%22M27.921%2096.208h14.585v33.904q0%207.008%202.273%2010.04%202.31%202.992%207.5%202.992%205.228%200%207.501-2.993%202.31-3.03%202.31-10.039V96.208h14.585v33.904q0%2012.009-6.023%2017.88-6.023%205.872-18.373%205.872-12.311%200-18.335-5.871-6.023-5.872-6.023-17.88zM90.957%2096.208h14.585v56.558H90.957zM119.899%2096.208h16.29l20.57%2038.791V96.208h13.826v56.558h-16.29l-20.57-38.791v38.79H119.9zM178.162%2096.208h52.125v11.024h-18.751v45.534H196.95v-45.534h-18.79z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1622709944841 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", - "type": "basic.output", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", + "type": "basic.input", "data": { "name": "", - "range": "[3:0]", - "size": 4 + "clock": true }, "position": { - "x": 1048, - "y": 264 + "x": 568, + "y": 144 } }, { - "id": "bd4f8bd4-1168-45de-9e31-9285fc5df114", - "type": "basic.input", + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", + "type": "basic.output", "data": { "name": "", - "range": "[3:0]", - "clock": false, - "size": 4 + "range": "[7:0]", + "size": 8 }, "position": { - "x": 184, - "y": 296 + "x": 1136, + "y": 240 } }, { - "id": "953c64e3-4076-4585-9f11-712f1a493091", - "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", - "position": { - "x": 736, - "y": 144 + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 568, + "y": 240 } }, { - "id": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, "position": { - "x": 424, - "y": 264 + "x": 568, + "y": 328 + } + }, + { + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 128 + "position": { + "x": 848, + "y": 24 } }, { - "id": "01808189-9bef-463d-b550-33d6bf218fa5", - "type": "84f0a15761ee8b753f67079819a7614923939472", + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[7:0]", + "size": 8 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 8;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" + }, "position": { - "x": 896, - "y": 232 + "x": 728, + "y": 128 }, "size": { - "width": 96, - "height": 128 + "width": 328, + "height": 280 } } ], "wires": [ { "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" }, - "vertices": [ - { - "x": 656, - "y": 312 - } - ] + "vertices": [] }, { "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "c39743c3-1b76-483c-8613-15f2782d0809", + "port": "out" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" }, "vertices": [] }, { "source": { - "block": "bd4f8bd4-1168-45de-9e31-9285fc5df114", + "block": "f1022de4-0225-43d7-92d3-451161ee6942", "port": "out" }, "target": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" - }, - "size": 4 + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" + } }, { "source": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "55180947-6349-4a04-a151-ad69ea2b155e" + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" }, "target": { - "block": "795ef1dc-e101-4c9e-8db5-0fd2a27dde00", - "port": "in" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" }, - "size": 4 + "size": 8 }, { "source": { - "block": "953c64e3-4076-4585-9f11-712f1a493091", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" }, "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" - } - }, - { - "source": { - "block": "bf2640c6-081a-45df-8281-1c1273bbe1f0", - "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" }, - "target": { - "block": "01808189-9bef-463d-b550-33d6bf218fa5", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } + "size": 8 } ] } } }, - "d2486582507737ac129f542fe8d9dc8012c017de": { + "e1fb385d657acff350e273a34472cf836d8701f2": { "package": { - "name": "Sys-Delay-xN-3bits", - "version": "0.2", - "description": "Sys-Delay-xN-3bits: The input tic is delayed N cycles", + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", "otid": 1616831800584 @@ -27413,27 +26554,27 @@ } }, { - "id": "5ded0703-b257-4d63-923d-e5443d342fb3", + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", "type": "basic.input", "data": { "name": "N", - "range": "[2:0]", + "range": "[31:0]", "clock": false, - "size": 3 + "size": 32 }, "position": { - "x": 104, + "x": 112, "y": 152 } }, { - "id": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", "type": "basic.inputLabel", "data": { "name": "N", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "darkgreen", - "size": 3 + "size": 32 }, "position": { "x": 240, @@ -27453,29 +26594,29 @@ } }, { - "id": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", - "type": "basic.outputLabel", + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", "data": { "name": "n", - "range": "[2:0]", - "blockColor": "springgreen", - "size": 3 + "range": "[31:0]", + "size": 32 }, "position": { - "x": 1112, + "x": 1264, "y": 240 } }, { - "id": "a4f0683c-ab82-451f-93f7-d4766d423c6a", - "type": "basic.output", + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", "data": { "name": "n", - "range": "[2:0]", - "size": 3 + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 }, "position": { - "x": 1264, + "x": 1112, "y": 240 } }, @@ -27552,13 +26693,13 @@ } }, { - "id": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", "type": "basic.inputLabel", "data": { "name": "n", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "springgreen", - "size": 3 + "size": 32 }, "position": { "x": 912, @@ -27566,13 +26707,13 @@ } }, { - "id": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", "type": "basic.outputLabel", "data": { "name": "N", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "darkgreen", - "size": 3 + "size": 32 }, "position": { "x": 568, @@ -27603,19 +26744,6 @@ "y": 496 } }, - { - "id": "70ef2353-3812-45c6-ba9f-e427dfefd973", - "type": "basic.constant", - "data": { - "name": "INIT", - "value": "1", - "local": true - }, - "position": { - "x": 744, - "y": 280 - } - }, { "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", "type": "basic.info", @@ -27648,22 +26776,6 @@ "height": 40 } }, - { - "id": "29ef30d2-5a8e-484a-88bf-2923c96d0cc0", - "type": "basic.info", - "data": { - "info": "Current working cycle: 1-3", - "readonly": true - }, - "position": { - "x": 1136, - "y": 192 - }, - "size": { - "width": 224, - "height": 40 - } - }, { "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", "type": "basic.info", @@ -27712,18 +26824,6 @@ "height": 40 } }, - { - "id": "46374a54-df22-40e7-9d3c-40f3f4ec082a", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", - "position": { - "x": 568, - "y": 128 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "bb602702-5cef-402e-8943-22ab77156f9e", "type": "basic.info", @@ -27756,22 +26856,6 @@ "height": 72 } }, - { - "id": "5ded0cb9-7da5-4360-a398-bcccdd86ba2d", - "type": "basic.info", - "data": { - "info": "2-bits counter", - "readonly": true - }, - "position": { - "x": 744, - "y": 512 - }, - "size": { - "width": 160, - "height": 32 - } - }, { "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", "type": "basic.info", @@ -27780,8 +26864,8 @@ "readonly": true }, "position": { - "x": 840, - "y": 552 + "x": 872, + "y": 520 }, "size": { "width": 208, @@ -27789,31 +26873,27 @@ } }, { - "id": "2a740374-b37a-43be-a1ee-d3156db6698e", - "type": "basic.info", - "data": { - "info": "Initial value", - "readonly": true - }, + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", "position": { - "x": 856, - "y": 304 + "x": 744, + "y": 376 }, "size": { - "width": 144, - "height": 40 + "width": 96, + "height": 128 } }, { - "id": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "type": "0d64b3c7ccde071cb65efaf2c0731911c8f746ea", + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", "position": { - "x": 744, - "y": 376 + "x": 568, + "y": 128 }, "size": { "width": 96, - "height": 128 + "height": 96 } } ], @@ -27845,13 +26925,13 @@ "port": "outlabel" }, "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { @@ -27865,7 +26945,7 @@ "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", + "block": "68b225e5-38a1-4efc-921c-608183d8c748", "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, @@ -27875,7 +26955,7 @@ "port": "outlabel" }, "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" }, "vertices": [ @@ -27891,13 +26971,13 @@ "port": "outlabel" }, "target": { - "block": "46374a54-df22-40e7-9d3c-40f3f4ec082a", + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" } }, { "source": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", + "block": "68b225e5-38a1-4efc-921c-608183d8c748", "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" }, "target": { @@ -27931,7 +27011,7 @@ "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", + "block": "68b225e5-38a1-4efc-921c-608183d8c748", "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" }, "vertices": [ @@ -27947,7 +27027,7 @@ "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", + "block": "68b225e5-38a1-4efc-921c-608183d8c748", "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" }, "vertices": [ @@ -27959,71 +27039,61 @@ }, { "source": { - "block": "5ded0703-b257-4d63-923d-e5443d342fb3", - "port": "out", - "size": 3 + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" }, "target": { - "block": "d5d8ab6c-9e41-4e62-9b48-309d233bbef5", - "port": "inlabel" + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { - "block": "e8dbae48-5699-4f1f-ade2-6efb5bd23461", - "port": "outlabel" + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 }, "target": { - "block": "a4f0683c-ab82-451f-93f7-d4766d423c6a", - "port": "in", - "size": 3 + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "827526b6-34c0-4247-9146-7e013bc24886", - "size": 3 + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 }, "target": { - "block": "eeca6ac8-195f-4442-afce-f84100fe5cf7", + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "8d295d72-5e92-4790-afc9-0c9be1b1c655", + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", "port": "outlabel" }, "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "8f054083-f0e7-4d10-85db-054a74bc930e", - "size": 3 + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 }, - "size": 3 - }, - { - "source": { - "block": "70ef2353-3812-45c6-ba9f-e427dfefd973", - "port": "constant-out" - }, - "target": { - "block": "c0f87920-d299-4b90-a8f7-10b4373f7d70", - "port": "6e45c329-1d94-4d21-aca5-080253bdf1c9" - } + "size": 32 } ] } } }, - "0d64b3c7ccde071cb65efaf2c0731911c8f746ea": { + "ee22aefdb40369d328e34626d38b009de330f96b": { "package": { - "name": "Counter-M-rst-x02", - "version": "0.2", - "description": "Counter-M-x02: 2-bits M module counter with reset", + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1618503523961 @@ -28056,29 +27126,12 @@ } }, { - "id": "827526b6-34c0-4247-9146-7e013bc24886", + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", "type": "basic.output", "data": { "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "range": "[31:0]", + "size": 32 }, "position": { "x": 1184, @@ -28086,30 +27139,13 @@ } }, { - "id": "55edd0be-62a0-4ba1-b883-e6a519a1772c", + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", "type": "basic.outputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { "x": 1016, @@ -28165,64 +27201,30 @@ } }, { - "id": "8f054083-f0e7-4d10-85db-054a74bc930e", - "type": "basic.input", + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", "data": { - "name": "max", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, "position": { - "x": -24, + "x": 104, "y": -160 } }, { - "id": "46a3af92-1a38-47ce-917f-72b9d052b9c9", - "type": "basic.inputLabel", + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", "data": { - "name": "maxi", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 104, + "x": -24, "y": -160 } }, @@ -28260,8 +27262,8 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 488, - "y": 0 + "x": 456, + "y": 16 } }, { @@ -28277,37 +27279,6 @@ "y": 40 } }, - { - "id": "e92c1b12-9b1b-44bf-a405-ee008964bc6c", - "type": "basic.outputLabel", - "data": { - "name": "maxi", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] - }, - "position": { - "x": 736, - "y": 40 - } - }, { "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", "type": "basic.outputLabel", @@ -28321,18 +27292,6 @@ "y": 136 } }, - { - "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "max" - }, - "position": { - "x": 1056, - "y": 152 - } - }, { "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", "type": "basic.outputLabel", @@ -28346,67 +27305,59 @@ } }, { - "id": "2ae4d5db-0a4e-4407-98c0-6a4679e87ba7", + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", "type": "basic.outputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { - "x": 168, - "y": 264 + "x": -32, + "y": 304 } }, { - "id": "24d28ed9-07c0-438b-a34c-6e9e51644df2", + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", "type": "basic.inputLabel", "data": { "name": "q", - "range": "[2:0]", + "range": "[31:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 32 }, "position": { "x": 792, "y": 320 } }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, { "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", "type": "basic.outputLabel", @@ -28416,37 +27367,21 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 480, - "y": 336 + "x": 416, + "y": 488 } }, { - "id": "6e45c329-1d94-4d21-aca5-080253bdf1c9", + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", "type": "basic.constant", "data": { "name": "", "value": "0", - "local": false + "local": true }, "position": { "x": 632, - "y": 16 - } - }, - { - "id": "5147675d-38b3-4889-b4c5-9996cb982a1d", - "type": "basic.info", - "data": { - "info": "3-bits Comparator", - "readonly": true - }, - "position": { - "x": 880, - "y": 224 - }, - "size": { - "width": 176, - "height": 40 + "y": 8 } }, { @@ -28457,8 +27392,8 @@ "readonly": true }, "position": { - "x": 1056, - "y": 88 + "x": 424, + "y": 368 }, "size": { "width": 192, @@ -28469,12 +27404,12 @@ "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", "type": "basic.info", "data": { - "info": "2-bits register", + "info": "32-bits register", "readonly": true }, "position": { "x": 640, - "y": 248 + "y": 256 }, "size": { "width": 168, @@ -28538,23 +27473,23 @@ } }, { - "id": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "type": "163de71139324552fbc888f7c8115b2e92c4e2c9", + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", "position": { - "x": 632, - "y": 120 + "x": 120, + "y": 304 }, "size": { "width": 96, - "height": 128 + "height": 64 } }, { - "id": "35a5a649-aabb-4c28-b892-b1e688205507", - "type": "6f680c6417b9b58e89e7bdc471b831693ec12d1f", + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", "position": { - "x": 896, - "y": 152 + "x": 296, + "y": 424 }, "size": { "width": 96, @@ -28562,15 +27497,15 @@ } }, { - "id": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "type": "097e2f8e1d86d74bb4b81e142ef453a72f74dab2", + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", "position": { - "x": 320, - "y": 264 + "x": 632, + "y": 120 }, "size": { "width": 96, - "height": 64 + "height": 128 } } ], @@ -28592,9 +27527,15 @@ "port": "outlabel" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] }, { "source": { @@ -28608,7 +27549,7 @@ }, { "source": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { @@ -28652,7 +27593,7 @@ "port": "outlabel" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, @@ -28679,63 +27620,63 @@ }, { "source": { - "block": "8f054083-f0e7-4d10-85db-054a74bc930e", - "port": "out", - "size": 3 + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" }, "target": { - "block": "46a3af92-1a38-47ce-917f-72b9d052b9c9", - "port": "inlabel" + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { - "block": "55edd0be-62a0-4ba1-b883-e6a519a1772c", - "port": "outlabel" + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 }, "target": { - "block": "827526b6-34c0-4247-9146-7e013bc24886", - "port": "in", - "size": 3 + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "e92c1b12-9b1b-44bf-a405-ee008964bc6c", + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", "port": "outlabel" }, "target": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", - "port": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", - "size": 3 + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { - "block": "2ae4d5db-0a4e-4407-98c0-6a4679e87ba7", - "port": "outlabel" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 }, "target": { - "block": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "port": "65ab43b8-eebf-407e-a137-91891b821643", - "size": 3 + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" }, - "size": 3 + "size": 32 }, { "source": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "size": 3 + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" }, "target": { - "block": "24d28ed9-07c0-438b-a34c-6e9e51644df2", - "port": "inlabel" + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 }, - "size": 3 + "size": 32 }, { "source": { @@ -28743,7 +27684,7 @@ "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" } }, @@ -28759,869 +27700,1874 @@ }, { "source": { - "block": "6e45c329-1d94-4d21-aca5-080253bdf1c9", - "port": "constant-out" - }, - "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } - }, - { - "source": { - "block": "c96ee678-aa0e-4478-9968-6631e29a50c8", - "port": "f854d138-c12e-4178-8523-b71331cd29a6" + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" }, "target": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "d13e8ef7-99de-4b33-97e4-f6276c172ae8" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" }, "vertices": [ { - "x": 568, - "y": 240 + "x": 592, + "y": 288 } ], - "size": 3 + "size": 32 }, { "source": { - "block": "1a8a0bba-660a-4cc0-83cb-584f373ce730", - "port": "2afd8b74-c1d3-48d0-9a49-093582806e76" + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" }, "target": { - "block": "35a5a649-aabb-4c28-b892-b1e688205507", - "port": "adb0857f-f4f2-438a-950c-809ad8fefb6a" + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" }, - "size": 3 + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 } ] } } }, - "163de71139324552fbc888f7c8115b2e92c4e2c9": { + "0906c20b5d8675f4f9b5706640957da9573692b5": { "package": { - "name": "Reg-rst-02", - "version": "0.4", - "description": "Reg-rst-02: Two bits Register with load and reset inputs", + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "23c0248b-d48e-4388-b61a-b363a92491b1", - "type": "basic.outputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "clk", - "blockColor": "yellow" + "name": "c" }, "position": { - "x": 808, - "y": -64 + "x": 624, + "y": -168 } }, { - "id": "81f1b29d-bee0-46d8-acb4-16fc81b97b3d", - "type": "basic.output", + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", "data": { - "name": "nc" + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1392, - "y": -64 + "x": 280, + "y": -152 } }, { - "id": "207472c3-0ab2-423f-b05a-6c0b1fd4dc0a", - "type": "basic.outputLabel", + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", "data": { - "name": "rst", - "blockColor": "red" + "name": "s", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 784, - "y": 24 + "x": 624, + "y": -88 } }, { - "id": "2d87ecff-e270-4b97-8b90-f988b8035c59", - "type": "basic.output", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", "data": { - "name": "nc" + "name": "", + "value": "1", + "local": true }, "position": { - "x": 1392, - "y": 24 + "x": 456, + "y": -256 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", "position": { - "x": 328, - "y": 56 + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "b8049244-9470-4da6-9236-056cae30e2be", - "type": "basic.inputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, - "position": { - "x": 464, - "y": 56 + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" } }, { - "id": "7db66dcb-a2d7-4a4e-bd3e-4e1ede1a1c6c", - "type": "basic.outputLabel", + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "c" }, "position": { "x": 624, - "y": 56 + "y": -168 } }, { - "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", - "type": "basic.input", + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", "data": { - "name": "rst", - "clock": false + "name": "s", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 328, - "y": 136 + "x": 616, + "y": -88 } }, { - "id": "e5d3f97f-b628-4940-9a49-51803ae28038", - "type": "basic.inputLabel", + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", "data": { - "name": "rst", - "blockColor": "red" + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 472, - "y": 136 + "x": 232, + "y": -48 } }, { - "id": "dc7c219d-3521-4ed7-a68e-f3378f43e4c3", - "type": "basic.inputLabel", + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "", + "value": "0", + "local": false }, "position": { - "x": 1136, - "y": 144 + "x": 232, + "y": -272 } }, { - "id": "3d4643fc-e724-4f43-b80e-d10f3ba53ea6", - "type": "basic.outputLabel", - "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", "position": { - "x": 632, - "y": 144 + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "c13c690c-e207-40ed-b856-d3b1e40ed459", - "type": "basic.inputLabel", + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ { - "index": "0", - "name": "NULL", - "value": "NULL" + "name": "VALUE" } - ] + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } }, "position": { - "x": 464, - "y": 200 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "d13e8ef7-99de-4b33-97e4-f6276c172ae8", - "type": "basic.input", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "virtual": true, - "range": "[2:0]", + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } ], - "clock": false + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" }, "position": { - "x": 328, - "y": 200 + "x": 144, + "y": -472 } }, { - "id": "7df45c37-6984-463c-9500-7483678d9b25", + "id": "64015db2-163d-4858-966f-2f7282b187c9", "type": "basic.outputLabel", "data": { - "name": "load", - "blockColor": "royalblue" + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 }, "position": { - "x": 632, - "y": 224 + "x": 784, + "y": -440 } }, { - "id": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "type": "basic.output", + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", "data": { "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 }, - "position": { - "x": 1392, - "y": 240 - } + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 }, { - "id": "416eb0f3-d54e-4601-8032-4e99d6fd5549", - "type": "basic.outputLabel", - "data": { - "name": "value", - "range": "[2:0]", - "blockColor": "darkgreen", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 }, - "position": { - "x": 1248, - "y": 240 - } + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 }, { - "id": "f1022de4-0225-43d7-92d3-451161ee6942", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" }, - "position": { - "x": 328, - "y": 288 - } + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 }, { - "id": "2883d578-b5f1-4219-a19e-3f06411ec5da", - "type": "basic.inputLabel", - "data": { - "name": "load", - "blockColor": "royalblue" + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" }, - "position": { - "x": 464, - "y": 288 - } + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 }, { - "id": "de283e51-7f50-49c0-a7c0-8c962f28bb7f", - "type": "basic.output", - "data": { - "name": "nc" + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 1400, - "y": 352 + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, - "position": { - "x": 960, - "y": -24 - } + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 }, { - "id": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "type": "2e676a6ecf31a8ce4a32e9dd4addecebd56035a8", - "position": { - "x": 960, - "y": 96 + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" }, - "size": { - "width": 96, - "height": 96 - } + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 }, { - "id": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "type": "82390470268cdc44b35b5df195d173c6284275ac", - "position": { - "x": 784, - "y": 128 + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } - } - ], - "wires": [ + }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" }, "target": { - "block": "b8049244-9470-4da6-9236-056cae30e2be", - "port": "inlabel" - } + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 }, { "source": { - "block": "23c0248b-d48e-4388-b61a-b363a92491b1", - "port": "outlabel" + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 }, { "source": { - "block": "f1022de4-0225-43d7-92d3-451161ee6942", - "port": "out" + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "2883d578-b5f1-4219-a19e-3f06411ec5da", - "port": "inlabel" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { "source": { - "block": "7df45c37-6984-463c-9500-7483678d9b25", - "port": "outlabel" + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 }, { "source": { - "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", - "port": "out" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "e5d3f97f-b628-4940-9a49-51803ae28038", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "207472c3-0ab2-423f-b05a-6c0b1fd4dc0a", - "port": "outlabel" + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "892d93f1-9c4c-46c1-82c7-ff9702a5725a" + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" }, - "vertices": [ - { - "x": 912, - "y": 88 - } - ] + "size": 32 }, { "source": { - "block": "d13e8ef7-99de-4b33-97e4-f6276c172ae8", - "port": "out", - "size": 3 + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" }, "target": { - "block": "c13c690c-e207-40ed-b856-d3b1e40ed459", - "port": "inlabel" + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" }, - "size": 3 + "size": 24 }, { "source": { - "block": "3d4643fc-e724-4f43-b80e-d10f3ba53ea6", - "port": "outlabel" + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "5d76398a-adab-453c-b881-9427113a3ad6", - "size": 3 + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" }, - "size": 3 + "size": 16 }, { "source": { - "block": "7db66dcb-a2d7-4a4e-bd3e-4e1ede1a1c6c", - "port": "outlabel" + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" }, "target": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "5adca4be-dbf7-425a-955a-a08841809a44", - "size": 3 + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" }, - "size": 3 + "size": 32 }, { "source": { - "block": "416eb0f3-d54e-4601-8032-4e99d6fd5549", - "port": "outlabel" + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" }, "target": { - "block": "2afd8b74-c1d3-48d0-9a49-093582806e76", - "port": "in", - "size": 3 + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" }, - "size": 3 + "size": 24 }, { "source": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "395c4e08-67c9-42af-9898-7c62dff924cd", - "size": 3 + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" }, "target": { - "block": "dc7c219d-3521-4ed7-a68e-f3378f43e4c3", - "port": "inlabel" + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" }, - "size": 3 + "size": 16 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 }, { "source": { - "block": "af9814d4-e5f2-4830-8898-5e2d16e866f1", - "port": "85e789f7-4c87-4159-9eb2-9d140b76259e" + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" }, "target": { - "block": "e8b2a414-38f5-4717-85f0-e58e724ee494", - "port": "a16f83be-f2c1-4cc8-9342-2a9a945fd148" + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" }, - "size": 3 + "size": 32 } ] } } }, - "2e676a6ecf31a8ce4a32e9dd4addecebd56035a8": { + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { "package": { - "name": "Sys-reg-rst-02", - "version": "0.3", - "description": "Sys-reg-rst-02: Two bits system register with reset", + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "58b0158f-8daf-43f9-85be-b027d3f3cf4b", - "type": "basic.output", + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", "data": { - "name": "nc", + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" }, "position": { - "x": 1120, - "y": -56 + "x": 16, + "y": -616 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "c" }, "position": { - "x": 200, - "y": 8 + "x": 960, + "y": -608 } }, { - "id": "7df48c38-6663-4c79-89a1-2b45c9d9d2de", - "type": "basic.inputLabel", + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", "data": { - "name": "clk", - "blockColor": "yellow" + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 344, - "y": 8 + "x": 352, + "y": -584 } }, { - "id": "5dcbf0d4-9205-4315-8f46-fafe34edb2e4", + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", "type": "basic.output", "data": { - "name": "nc", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "s", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 1120, - "y": 48 + "x": 960, + "y": -544 } }, { - "id": "9e53a4a7-f63d-484d-b665-0dec9f235f40", + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", "type": "basic.outputLabel", "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 800, - "y": 80 - } - }, - { - "id": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 }, "position": { - "x": 200, - "y": 112 + "x": 184, + "y": -472 } }, { - "id": "ac06d304-adc4-4964-9009-9ae09c6a7bc5", + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", "type": "basic.inputLabel", "data": { - "name": "rst", - "blockColor": "fuchsia" - }, - "position": { - "x": 344, - "y": 112 - } - }, - { - "id": "395c4e08-67c9-42af-9898-7c62dff924cd", - "type": "basic.output", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", + "name": "b1", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 1112, - "y": 176 + "x": 8, + "y": -440 } }, { - "id": "7e2787ef-9284-4e7c-9ff9-29a3fa4f735c", + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", "type": "basic.outputLabel", "data": { - "name": "data", - "range": "[2:0]", + "name": "b0", + "range": "[3:0]", "blockColor": "fuchsia", - "virtual": true, - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "size": 4 }, "position": { - "x": 576, - "y": 176 + "x": 184, + "y": -408 } }, { - "id": "a16f83be-f2c1-4cc8-9342-2a9a945fd148", + "id": "63477487-9493-4058-a7e1-9bab443ec466", "type": "basic.input", "data": { "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 200, - "y": 224 + "x": -320, + "y": -384 } }, { - "id": "e5b765b3-9b12-4382-90a5-b9c081bc1e89", + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", "type": "basic.inputLabel", "data": { - "name": "data", - "range": "[2:0]", - "blockColor": "fuchsia", - "virtual": true, + "name": "b0", + "range": "[3:0]", "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, { "index": "2", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "1", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" }, { "index": "0", - "name": "NULL", - "value": "NULL" + "name": "", + "value": "" } - ] + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 344, - "y": 224 + "x": 8, + "y": -368 } }, { - "id": "cca03129-ade7-4010-9492-e6b902848751", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "fuchsia" - }, + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 584, - "y": 264 + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 936, - "y": -56 + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "c72ef057-f320-4254-8394-8eea52ab141f", - "type": "1888274878cfb0e03abcf72bf85f5151ca042184", + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", "position": { - "x": 936, - "y": 160 + "x": 352, + "y": -456 }, "size": { "width": 96, @@ -29629,725 +29575,618 @@ } }, { - "id": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "type": "82390470268cdc44b35b5df195d173c6284275ac", + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", "position": { "x": 760, - "y": 160 + "y": -544 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "a855343e-51e6-4d81-a274-050813be47bc", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", "position": { - "x": 576, - "y": 80 + "x": 520, + "y": -600 }, "size": { "width": 96, - "height": 64 + "height": 96 } } ], "wires": [ { "source": { - "block": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", - "port": "out" + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "ac06d304-adc4-4964-9009-9ae09c6a7bc5", + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", "port": "inlabel" - } - }, - { - "source": { - "block": "cca03129-ade7-4010-9492-e6b902848751", - "port": "outlabel" }, - "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "size": 4 }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "7df48c38-6663-4c79-89a1-2b45c9d9d2de", + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", "port": "inlabel" - } - }, - { - "source": { - "block": "9e53a4a7-f63d-484d-b665-0dec9f235f40", - "port": "outlabel" }, - "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" - } + "size": 4 }, { "source": { - "block": "7e2787ef-9284-4e7c-9ff9-29a3fa4f735c", - "port": "outlabel" + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "5d76398a-adab-453c-b881-9427113a3ad6", - "size": 3 + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "a16f83be-f2c1-4cc8-9342-2a9a945fd148", - "port": "out", - "size": 3 + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "e5b765b3-9b12-4382-90a5-b9c081bc1e89", + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" }, "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" - } + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" }, "target": { - "block": "a855343e-51e6-4d81-a274-050813be47bc", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 }, { "source": { - "block": "a855343e-51e6-4d81-a274-050813be47bc", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" }, "target": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "5adca4be-dbf7-425a-955a-a08841809a44" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "size": 3 + "size": 4 }, { "source": { - "block": "03804632-e9dd-4c4a-842c-6bf5d7d044d2", - "port": "85e789f7-4c87-4159-9eb2-9d140b76259e" + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" }, "target": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "size": 3 + "size": 4 }, { "source": { - "block": "c72ef057-f320-4254-8394-8eea52ab141f", - "port": "f407aea6-be81-48a5-bf87-5ca0d08cf734" + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" }, "target": { - "block": "395c4e08-67c9-42af-9898-7c62dff924cd", - "port": "in" - }, - "size": 3 - } - ] - } - } - }, - "1888274878cfb0e03abcf72bf85f5151ca042184": { - "package": { - "name": "Sys-reg-02", - "version": "0.3", - "description": "Sys-reg-02: Two bits system register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1618509859237 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "e0d81a47-d26f-476b-be1f-e4d36eea4692", - "type": "basic.output", - "data": { - "name": "nc", - "virtual": true, - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "position": { - "x": 944, - "y": 40 - } + "size": 8 }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" }, - "position": { - "x": 376, - "y": 80 - } - }, - { - "id": "f407aea6-be81-48a5-bf87-5ca0d08cf734", - "type": "basic.output", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "position": { - "x": 944, - "y": 128 - } + "size": 8 }, { - "id": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b", - "type": "basic.input", - "data": { - "name": "", - "virtual": true, - "range": "[2:0]", - "pins": [ - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "clock": false + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": 368, - "y": 168 - } - }, - { - "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" }, - "position": { - "x": 648, - "y": -48 - } + "size": 4 }, - { - "id": "a488e8ac-cd04-4656-9880-218437a4aba0", - "type": "basic.code", - "data": { - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[2:0]", - "size": 3 - } - ], - "out": [ - { - "name": "q", - "range": "[2:0]", - "size": 3 - } - ] - }, - "params": [ - { - "name": "INI" - } - ], - "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 3;\n\n//-- Initial value\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;\n \n\n" - }, - "position": { - "x": 536, - "y": 64 - }, - "size": { - "width": 320, - "height": 184 - } - } - ], - "wires": [ { "source": { - "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", - "port": "constant-out" + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "INI" - } + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "clk" - } + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "q" + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "f407aea6-be81-48a5-bf87-5ca0d08cf734", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" - }, - "size": 3 + } }, { "source": { - "block": "882b9cd2-e9be-41a1-bbcc-224f38c8a52b", - "port": "out" + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "a488e8ac-cd04-4656-9880-218437a4aba0", - "port": "d" - }, - "size": 3 + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } } ] } } }, - "82390470268cdc44b35b5df195d173c6284275ac": { + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { "package": { - "name": "3-bits-Mux-2-1", + "name": "Adder-4bits", "version": "0.1", - "description": "2-to-1 Multplexer (3-bit channels)", + "description": "Adder-4bits: Adder of two operands of 4 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1618922858665 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", - "type": "basic.outputLabel", + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 728, - "y": 72 + "x": 8, + "y": -744 } }, { - "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", "type": "basic.outputLabel", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" }, "position": { - "x": 728, - "y": 144 + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 } }, { - "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 424, - "y": 168 + "x": 8, + "y": -688 } }, { - "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "fuchsia", + "name": "b3", "oldBlockColor": "fuchsia" }, "position": { - "x": 728, - "y": 216 + "x": 576, + "y": -672 } }, { - "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A1" + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 432, - "y": 240 + "x": 8, + "y": -632 } }, { - "id": "5adca4be-dbf7-425a-955a-a08841809a44", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", "type": "basic.input", "data": { - "name": "1", - "range": "[2:0]", + "name": "", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 120, - "y": 240 + "x": -312, + "y": -632 } }, { - "id": "377986eb-1798-42b1-846a-3e33efe71ce4", - "type": "basic.inputLabel", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "A0", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 424, - "y": 312 + "x": 456, + "y": -584 } }, { - "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", - "type": "basic.outputLabel", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "A1", + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 720, - "y": 328 + "x": 8, + "y": -576 } }, { - "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", "type": "basic.outputLabel", "data": { - "blockColor": "turquoise", - "name": "B1", - "oldBlockColor": "turquoise" - }, - "position": { - "x": 720, - "y": 416 - } - }, - { - "id": "85e789f7-4c87-4159-9eb2-9d140b76259e", - "type": "basic.output", - "data": { - "name": "", - "range": "[2:0]", - "size": 3 + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1264, - "y": 416 + "x": 456, + "y": -528 } }, { - "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B2", - "oldBlockColor": "turquoise" + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 456, - "y": 480 + "x": -8, + "y": -448 } }, { - "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "deeppink", + "name": "a1", "oldBlockColor": "fuchsia" }, "position": { - "x": 720, - "y": 488 + "x": 328, + "y": -440 } }, { - "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B1", + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 456, - "y": 544 + "x": -8, + "y": -384 } }, { - "id": "5d76398a-adab-453c-b881-9427113a3ad6", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", "type": "basic.input", "data": { - "name": "0", - "range": "[2:0]", + "name": "", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 120, - "y": 544 + "x": -312, + "y": -384 } }, { - "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "A0" + "name": "b1" }, "position": { - "x": 720, - "y": 568 + "x": 328, + "y": -376 } }, { - "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", - "type": "basic.inputLabel", + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "name": "s", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 456, - "y": 608 + "x": 1064, + "y": -368 } }, { - "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", - "type": "basic.outputLabel", + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", "data": { - "blockColor": "turquoise", - "name": "B0", - "oldBlockColor": "fuchsia" + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 720, - "y": 640 + "x": -16, + "y": -320 } }, { - "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "type": "basic.input", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", "data": { - "name": "sel", - "clock": false + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 136, - "y": 672 + "x": 184, + "y": -296 } }, { - "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "id": "b652825e-10ba-47cc-9832-e39d73586234", "type": "basic.inputLabel", "data": { - "blockColor": "navy", - "name": "sel", + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 296, - "y": 672 + "x": -32, + "y": -264 } }, { - "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "sel", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 712, - "y": 720 - } - }, - { - "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", - "position": { - "x": 864, - "y": 400 - }, - "size": { - "width": 96, - "height": 96 + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 } }, { - "id": "d933500c-d68a-479f-9e5d-f4fb16510968", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", "position": { - "x": 864, - "y": 624 + "x": 320, + "y": -248 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", - "type": "basic.info", - "data": { - "info": "Channel A", - "readonly": true - }, + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 288, - "y": 184 + "x": 464, + "y": -392 }, "size": { - "width": 144, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "4593d302-bf1c-414c-917f-e13e667192e3", - "type": "basic.info", - "data": { - "info": "Channel B", - "readonly": true - }, + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 312, - "y": 488 + "x": 608, + "y": -544 }, "size": { - "width": 144, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1040, - "y": 400 + "x": -168, + "y": -416 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "3d24183b-338b-439c-aec1-f4a264907b97", - "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 872, - "y": 128 + "x": -152, + "y": -664 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 296, - "y": 528 + "x": 896, + "y": -400 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 280, - "y": 224 + "x": 744, + "y": -688 }, "size": { "width": 96, @@ -30358,536 +30197,723 @@ "wires": [ { "source": { - "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", - "port": "out" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" } }, { "source": { - "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", - "port": "outlabel" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" } }, { "source": { - "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" }, "target": { - "block": "569d2a99-51b3-4a38-9b7b-ace665061883", - "port": "inlabel" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "port": "inlabel" } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "port": "inlabel" } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "port": "inlabel" } }, { "source": { - "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" } }, { "source": { - "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "block": "3c8597e6-ca79-494a-9a53-04c284205216", "port": "outlabel" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "port": "outlabel" }, "target": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "ea1e0257-805a-4562-9264-66dd07a08022", - "port": "outlabel" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" } }, { "source": { - "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" }, - "vertices": [] + "vertices": [ + { + "x": -24, + "y": -696 + } + ] }, { "source": { - "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [] + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } }, { "source": { - "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", "port": "outlabel" }, "target": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - }, - "vertices": [] + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", - "port": "inlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", - "port": "inlabel" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" } }, { "source": { - "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } }, { "source": { - "block": "d933500c-d68a-479f-9e5d-f4fb16510968", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } }, { "source": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "85e789f7-4c87-4159-9eb2-9d140b76259e", - "port": "in" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "size": 3 + "vertices": [ + { + "x": 824, + "y": -424 + } + ] }, { "source": { - "block": "3d24183b-338b-439c-aec1-f4a264907b97", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" }, "target": { - "block": "ecfee6a1-b649-431d-8550-0c5c13d1a080", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 }, { "source": { - "block": "5d76398a-adab-453c-b881-9427113a3ad6", + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", "port": "out" }, "target": { - "block": "66e0d0c0-5bb5-4956-a56a-e18ef19f34a2", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "size": 3 + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } }, { "source": { - "block": "5adca4be-dbf7-425a-955a-a08841809a44", - "port": "out" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "0a3d8e00-509b-4a37-9a61-6d076e6bade0", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" - }, - "size": 3 + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } } ] } } }, - "6f680c6417b9b58e89e7bdc471b831693ec12d1f": { + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { "package": { - "name": "comp2-3bits", + "name": "AdderC-4bits", "version": "0.1", - "description": "Comp2-3bit: Comparator of two 3-bit numbers", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", - "otid": 1618594740929 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a2", - "oldBlockColor": "fuchsia" + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" }, "position": { - "x": 904, - "y": 0 + "x": 576, + "y": -736 } }, { - "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", + "blockColor": "deeppink", "name": "a2", - "oldBlockColor": "fuchsia" + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 672, - "y": 64 + "x": 8, + "y": -688 } }, { - "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", "type": "basic.outputLabel", "data": { - "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 72 + "x": 576, + "y": -672 } }, { - "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a1" + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 672, - "y": 120 + "x": 8, + "y": -632 } }, { - "id": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 360, - "y": 120 + "x": -312, + "y": -632 } }, { - "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "a1" + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 904, - "y": 176 + "x": 456, + "y": -584 } }, { - "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "a0" + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 672, - "y": 176 + "x": 8, + "y": -576 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1416, - "y": 232 + "x": 456, + "y": -528 } }, { - "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "type": "basic.outputLabel", + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", - "name": "b1", + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 248 + "x": -8, + "y": -448 } }, { - "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", - "type": "basic.inputLabel", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", "data": { "blockColor": "deeppink", - "name": "b2", - "oldBlockColor": "deeppink" + "name": "a1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 272 + "x": 328, + "y": -440 } }, { - "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", - "name": "b1", + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 328 + "x": -8, + "y": -384 } }, { - "id": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[3:0]", "clock": false, - "size": 3 + "size": 4 }, "position": { - "x": 368, - "y": 328 + "x": -312, + "y": -384 } }, { - "id": "9eab6815-4005-453c-8f24-68951cced197", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", "name": "a0", "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 344 + "x": 184, + "y": -296 } }, { - "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "id": "b652825e-10ba-47cc-9832-e39d73586234", "type": "basic.inputLabel", "data": { - "blockColor": "deeppink", + "blockColor": "fuchsia", "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 680, - "y": 384 + "x": -32, + "y": -264 } }, { - "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "blockColor": "deeppink", + "blockColor": "fuchsia", "name": "b0", "oldBlockColor": "fuchsia" }, "position": { - "x": 904, - "y": 416 + "x": 184, + "y": -232 } }, { - "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", - "position": { - "x": 1056, - "y": 400 + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -296, + "y": -168 } }, { - "id": "60f06ba4-1549-43a9-9104-27d82904430d", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1056, - "y": 232 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", - "type": "basic.info", - "data": { - "info": "A", - "readonly": true - }, + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 408, - "y": 104 + "x": 608, + "y": -544 }, "size": { - "width": 80, - "height": 32 + "width": 96, + "height": 96 } }, { - "id": "fa5825ce-289b-4004-84f5-12ee322cae15", - "type": "basic.info", - "data": { - "info": "B", - "readonly": true - }, + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 408, - "y": 304 + "x": -168, + "y": -416 }, "size": { - "width": 80, - "height": 32 + "width": 96, + "height": 128 } }, { - "id": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 528, - "y": 104 + "x": -152, + "y": -664 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 528, - "y": 312 + "x": 896, + "y": -400 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "398207eb-18f3-43a4-b4e5-481480023848", - "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1056, - "y": 56 + "x": 744, + "y": -688 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1272, - "y": 216 + "x": 320, + "y": -248 }, "size": { "width": 96, @@ -30898,384 +30924,592 @@ "wires": [ { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" }, "target": { - "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", - "port": "inlabel" + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "block": "527c9113-e440-454b-b427-182b646c10f5", "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "block": "b652825e-10ba-47cc-9832-e39d73586234", "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" }, "target": { - "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", - "port": "inlabel" + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { "source": { - "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "port": "outlabel" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, "target": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" } }, { "source": { - "block": "9eab6815-4005-453c-8f24-68951cced197", + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "port": "outlabel" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { "source": { - "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", - "port": "outlabel" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, "target": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { "source": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "port": "inlabel" } }, { "source": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", "port": "33072210-9ba0-4659-8339-95952b939e6e" }, "target": { - "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "block": "73a0a48e-d345-4eda-8603-782e9865d928", "port": "inlabel" } }, { "source": { - "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "block": "3c8597e6-ca79-494a-9a53-04c284205216", "port": "outlabel" }, "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, - "vertices": [] + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } }, { "source": { - "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", "port": "outlabel" }, "target": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" }, - "vertices": [] + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } }, { "source": { - "block": "67082426-c549-43f2-bc0b-3f2f7fd51cb5", + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", "port": "out" }, "target": { - "block": "b036a1b2-af8c-4628-91ac-02c1ca90d220", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "size": 3 + "size": 4 }, { "source": { - "block": "adb0857f-f4f2-438a-950c-809ad8fefb6a", + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", "port": "out" }, "target": { - "block": "4c37a614-0801-4593-9ef9-0ad33c68f37d", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] }, { "source": { - "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "60f06ba4-1549-43a9-9104-27d82904430d", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { "source": { - "block": "398207eb-18f3-43a4-b4e5-481480023848", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, "target": { - "block": "9b34c8a4-50ad-428d-906c-e28ccd5d8645", - "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" } } ] } } }, - "097e2f8e1d86d74bb4b81e142ef453a72f74dab2": { + "c3c498191b14e9288a85fa2871b3966665f75475": { "package": { - "name": "Inc1-3bits", + "name": "AdderC-8bits", "version": "0.1", - "description": "Inc1-3bit: Increment a 3-bits number by one", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", "data": { - "name": "c" + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" }, "position": { - "x": 624, - "y": -168 + "x": -64, + "y": -648 } }, { - "id": "65ab43b8-eebf-407e-a137-91891b821643", + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", "type": "basic.input", "data": { "name": "", - "range": "[2:0]", + "range": "[7:0]", "clock": false, - "size": 3 + "size": 8 }, "position": { - "x": 272, - "y": -152 + "x": -408, + "y": -584 } }, { - "id": "f854d138-c12e-4178-8523-b71331cd29a6", - "type": "basic.output", + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", "data": { - "name": "s", - "range": "[2:0]", - "size": 3 + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" }, "position": { - "x": 632, - "y": -96 + "x": -64, + "y": -568 } }, { - "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "type": "basic.constant", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "value": "1", - "local": true + "name": "c" }, "position": { - "x": 456, - "y": -256 + "x": 832, + "y": -480 } }, { - "id": "34e391c9-ac69-470b-863d-5482a02b3438", - "type": "75e5396495e2cfaa31e14ecf930abc2d3bdd3866", - "position": { - "x": 456, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 248, + "y": -456 } }, { - "source": { - "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", - "port": "constant-out" + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" }, - "target": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + "position": { + "x": -80, + "y": -448 } }, { - "source": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "b476ef80-6022-4dd3-962e-9efc90d730c5" - }, - "target": { - "block": "f854d138-c12e-4178-8523-b71331cd29a6", - "port": "in" + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "size": 3 + "position": { + "x": -400, + "y": -392 + } }, { - "source": { - "block": "65ab43b8-eebf-407e-a137-91891b821643", - "port": "out" - }, - "target": { - "block": "34e391c9-ac69-470b-863d-5482a02b3438", - "port": "9f76c005-39aa-4ac8-842a-6249a18711c8" - }, - "size": 3 - } - ] - } - } - }, - "75e5396495e2cfaa31e14ecf930abc2d3bdd3866": { - "package": { - "name": "AdderK-3bits CLONE", - "version": "0.1", - "description": "AdderK-3bit: Adder of 3-bit operand and 3-bit constant", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", "data": { - "name": "c" + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 624, - "y": -168 + "x": 248, + "y": -376 } }, { - "id": "b476ef80-6022-4dd3-962e-9efc90d730c5", + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", "type": "basic.output", "data": { "name": "s", - "range": "[2:0]", - "size": 3 + "range": "[7:0]", + "size": 8 }, "position": { - "x": 624, - "y": -64 + "x": 840, + "y": -336 } }, { - "id": "9f76c005-39aa-4ac8-842a-6249a18711c8", - "type": "basic.input", + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, "position": { - "x": 304, - "y": -48 + "x": 120, + "y": -304 } }, { - "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "type": "basic.constant", + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, "position": { - "x": 288, - "y": -272 + "x": 120, + "y": -232 } }, { - "id": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "type": "7b367d65207b1a2d3bb13af0fccc5d425d108259", + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, "position": { - "x": 288, + "x": -392, "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 }, "size": { "width": 96, @@ -31283,929 +31517,1165 @@ } }, { - "id": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "type": "4898bbaf0126034e1995d1777b4faa914cf1ee3a", + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 456, - "y": -152 + "x": -240, + "y": -584 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ { "source": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 }, { "source": { - "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", - "port": "constant-out" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 }, { "source": { - "block": "8f2c30b7-b307-4fac-8822-b26e1d4bade9", - "port": "50004d4c-3153-4049-88f7-bad5e748383b" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "c776ec7e-ada9-42ea-be1c-5104577d4e5e" + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "9f76c005-39aa-4ac8-842a-6249a18711c8", - "port": "out" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "2f4012a8-b7ac-481d-9158-bfeb88e44d67" + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" }, - "size": 3 + "size": 4 }, { "source": { - "block": "2c7e2857-9f78-417c-a37a-f510beb05c32", - "port": "f33cb471-7816-45bf-b02b-a6c46f66a592" + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" }, "target": { - "block": "b476ef80-6022-4dd3-962e-9efc90d730c5", - "port": "in" - }, - "size": 3 - } - ] - } - } - }, - "4898bbaf0126034e1995d1777b4faa914cf1ee3a": { - "package": { - "name": "Adder-3bits", - "version": "0.1", - "description": "Adder-3bits: Adder of two operands of 3 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618560481898 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "oldBlockColor": "deeppink" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "position": { - "x": 456, - "y": -584 - } + "size": 4 }, { - "id": "73a0a48e-d345-4eda-8603-782e9865d928", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" }, - "position": { - "x": -32, - "y": -568 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "c" + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "position": { - "x": 944, - "y": -552 - } + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 }, { - "id": "3c8597e6-ca79-494a-9a53-04c284205216", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "oldBlockColor": "fuchsia" + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" }, - "position": { - "x": 456, - "y": -528 - } + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 }, { - "id": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", - "type": "basic.input", - "data": { - "name": "", - "range": "[2:0]", - "clock": false, - "size": 3 + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": -312, - "y": -496 + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "deeppink" + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, - "position": { - "x": -32, - "y": -496 + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { - "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "type": "basic.outputLabel", - "data": { - "blockColor": "deeppink", - "name": "a1", - "oldBlockColor": "fuchsia" + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" }, - "position": { - "x": 328, - "y": -440 - } + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "type": "basic.inputLabel", - "data": { - "blockColor": "deeppink", - "name": "a0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" }, - "position": { - "x": -32, - "y": -432 - } + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { - "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b1" + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, - "position": { - "x": 328, - "y": -376 - } + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 }, { - "id": "f33cb471-7816-45bf-b02b-a6c46f66a592", - "type": "basic.output", - "data": { - "name": "s", - "range": "[2:0]", - "size": 3 + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": 952, - "y": -352 - } + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { - "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "position": { - "x": -32, - "y": -304 - } + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 }, { - "id": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", "type": "basic.input", "data": { - "name": "", - "range": "[2:0]", + "name": "1", + "range": "[7:0]", "clock": false, - "size": 3 + "size": 8 }, "position": { - "x": -320, - "y": -232 + "x": 120, + "y": 168 } }, { - "id": "527c9113-e440-454b-b427-182b646c10f5", - "type": "basic.inputLabel", + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "b1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "", + "range": "[15:0]", + "size": 16 }, "position": { - "x": -32, - "y": -232 + "x": 632, + "y": 200 } }, { - "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "type": "basic.outputLabel", + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", "data": { - "blockColor": "deeppink", - "name": "a0", - "oldBlockColor": "fuchsia" + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 184, - "y": -192 + "x": 120, + "y": 264 } }, { - "id": "b652825e-10ba-47cc-9832-e39d73586234", - "type": "basic.inputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "b0", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } }, "position": { - "x": -32, - "y": -160 - } - }, - { - "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "b0", - "oldBlockColor": "fuchsia" + "x": 296, + "y": 176 }, - "position": { - "x": 184, - "y": -128 + "size": { + "width": 272, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", - "position": { - "x": 320, - "y": -144 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 }, { - "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", - "position": { - "x": 464, - "y": -392 + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" }, - "size": { - "width": 96, - "height": 96 - } + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 }, { - "id": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", - "position": { - "x": -176, - "y": -248 + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 } }, { - "id": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "type": "9a279550d6cd93b170311188e39bffc553a4f512", - "position": { - "x": -168, - "y": -512 + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 640, + "y": 200 } }, { - "id": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "type": "2b9b8c7b12f595d67c236787e6f8d9426571540d", - "position": { - "x": 792, - "y": -368 + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 120, + "y": 264 } }, { - "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, "position": { - "x": 608, - "y": -544 + "x": 296, + "y": 176 }, "size": { - "width": 96, - "height": 96 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", - "port": "outlabel" + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" }, "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } - }, - { - "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "target": { - "block": "527c9113-e440-454b-b427-182b646c10f5", - "port": "inlabel" - } + "size": 16 }, { "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "b652825e-10ba-47cc-9832-e39d73586234", - "port": "inlabel" - } - }, - { - "source": { - "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", - "port": "outlabel" + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" }, - "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } + "size": 24 }, { "source": { - "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", - "port": "outlabel" + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" }, "target": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "0f7487e5-b070-4277-bba6-acf69934afca" + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 }, - "target": { - "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", - "port": "inlabel" + "position": { + "x": 592, + "y": 152 } }, { - "source": { - "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", - "port": "outlabel" + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 72, + "y": 208 } }, { - "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", - "port": "inlabel" + "position": { + "x": 592, + "y": 232 } }, { - "source": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", - "port": "inlabel" + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 } - }, + } + ], + "wires": [ { "source": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "33072210-9ba0-4659-8339-95952b939e6e" + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" }, "target": { - "block": "73a0a48e-d345-4eda-8603-782e9865d928", - "port": "inlabel" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 }, { "source": { - "block": "3c8597e6-ca79-494a-9a53-04c284205216", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" - } + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - } - }, + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 }, - "target": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + "position": { + "x": 600, + "y": 128 } }, { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + "position": { + "x": 96, + "y": 200 } }, { - "source": { - "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + "position": { + "x": 592, + "y": 224 } }, { - "source": { - "block": "2f4012a8-b7ac-481d-9158-bfeb88e44d67", - "port": "out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "15f0a784-4424-44bf-b71f-ecf78aea0fb6", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "position": { + "x": 272, + "y": 168 }, - "size": 3 - }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "c776ec7e-ada9-42ea-be1c-5104577d4e5e", + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", "port": "out" }, "target": { - "block": "8ceabed2-e8a7-4f42-9e99-99a7c4c68c3b", - "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 3 + "size": 24 }, { "source": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "a7b70668-43df-4c7f-8da5-7076008e97bd" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "f33cb471-7816-45bf-b02b-a6c46f66a592", + "block": "4ca7b72f-724d-435c-8490-645c338586db", "port": "in" }, - "size": 3 - }, - { - "source": { - "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "5e915366-608a-431a-bf68-8c64fb4c302c" - } - }, - { - "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "c7d2d573-b901-420e-a923-6832e5b18e34" - }, - "target": { - "block": "92b43673-6b04-4903-ac7d-478f5676d6ea", - "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" - } + "size": 16 }, { "source": { - "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "872cccab-7461-412b-9924-bad211fa1922", "port": "in" - } + }, + "size": 8 } ] } } }, - "32f4dc71b91d2cfbd55c5982a436afb666f277f6": { + "306ca367fbbc5181b3c709d73f447e0710871a1d": { "package": { - "name": "Unit-ns-4bits", + "name": "Bus16-Split-half", "version": "0.1", - "description": "Unit-ns-4bits: ns to cycles converter. Max value: 1250 ns (15 cycles)", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22197.4%22%20height=%2296.404%22%20viewBox=%220%200%2052.228676%2025.507021%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%20font-size=%2244.42%22%20fill=%22green%22%20stroke-width=%22.265%22%20font-weight=%22700%22%3E%3Cpath%20d=%22M24.423%2010.086v14.792h-7.809V13.556q0-3.145-.152-4.338-.13-1.193-.477-1.757-.455-.759-1.236-1.171-.78-.434-1.779-.434-2.429%200-3.817%201.887-1.388%201.866-1.388%205.184v11.951H0V.586h7.765v3.557q1.757-2.126%203.73-3.124Q13.47%200%2015.856%200q4.208%200%206.377%202.581%202.19%202.581%202.19%207.505zM50.602%201.345v5.9q-2.494-1.042-4.815-1.562-2.32-.52-4.381-.52-2.213%200-3.297.563-1.063.542-1.063%201.692%200%20.933.802%201.431.825.5%202.929.738l1.366.195q5.965.76%208.025%202.494%202.06%201.736%202.06%205.444%200%203.883-2.862%205.835-2.863%201.952-8.546%201.952-2.408%200-4.989-.39-2.56-.37-5.27-1.128v-5.9q2.32%201.128%204.75%201.692%202.45.564%204.967.564%202.277%200%203.427-.63%201.15-.628%201.15-1.864%200-1.042-.803-1.54-.781-.52-3.145-.803l-1.367-.173q-5.184-.651-7.266-2.408t-2.082-5.336q0-3.86%202.646-5.726Q35.484%200%2040.95%200q2.147%200%204.512.325%202.364.326%205.14%201.02z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1658085310528 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "dddbb1a2-a5e6-423e-946f-8ca33838450a", + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "type": "basic.output", "data": { - "name": "n", - "virtual": true, - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "NULL", - "value": "NULL" - }, - { - "index": "2", - "name": "NULL", - "value": "NULL" - }, - { - "index": "1", - "name": "NULL", - "value": "NULL" - }, - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ] + "name": "1", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 952, - "y": 488 + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 }, { - "id": "baa35392-0185-43dc-9b99-bb34e31e987d", - "type": "basic.constant", - "data": { - "name": "F", - "value": "12000000", - "local": false + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "position": { - "x": 520, - "y": 344 - } + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 }, { - "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", - "type": "basic.constant", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", "data": { - "name": "ns", - "value": "583", - "local": false + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 720, - "y": 344 + "x": 120, + "y": 104 } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", "data": { - "info": "Input parameter: Nanoseconds \n* Max value: 1250 ns (15 cycles)", - "readonly": true + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 712, - "y": 256 - }, - "size": { - "width": 304, - "height": 64 + "x": 648, + "y": 200 } }, { - "id": "0b858727-f8ad-4c64-882b-640b0edba180", - "type": "basic.info", + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", "data": { - "info": "Input parameter: \nSystem Frequency", - "readonly": true + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 480, - "y": 264 - }, - "size": { - "width": 280, - "height": 48 + "x": 120, + "y": 224 } }, { - "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 + } + ], "out": [ { - "name": "n", - "range": "[3:0]", - "size": 4 + "name": "o", + "range": "[31:0]", + "size": 32 } ] - }, - "params": [ - { - "name": "F" - }, - { - "name": "NS" - } - ], - "code": "localparam Cycles = $ceil(1.0e-9 * F * NS);\n\nassign n = Cycles;\n\n" + } }, "position": { - "x": 472, - "y": 464 + "x": 296, + "y": 176 }, "size": { - "width": 392, - "height": 112 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", - "port": "constant-out" + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" }, "target": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "NS" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "baa35392-0185-43dc-9b99-bb34e31e987d", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "F" + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", - "port": "n" + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" }, "target": { - "block": "dddbb1a2-a5e6-423e-946f-8ca33838450a", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 4 + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 } ] } } }, - "5130094eb1e58828b8c072275acd105cee6a71ac": { + "a63735be57457fe4a3aad098b06ba4a251615267": { "package": { - "name": "Mach-count-1-bit", - "version": "0.2", - "description": "Mach-count-1-bit: 1-bit count machine", + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22537.492%22%20width=%22439.185%22%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22226.912%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22251.57%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22251.57%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M231.149%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22204.743%22%20x=%22100.623%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22329.282%22%20x=%22117.953%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.947%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22329.282%22%20x=%22117.953%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M104.86%20299.207h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.417%20248.541)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M214.983%2079.637c-24.896%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.048-45.11-44.952-45.11zm90.409%2071.022l-8.127%2019.578%2014.496%2028.472%201.915%203.759-20.101%2020.1-32.979-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.412l-12.295-34.337-19.578-8.075-28.515%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.369-9.92-4.029-1.306v-28.393l34.363-12.313%208.066-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L200.173%200h28.401l12.305%2034.38%2019.526%208.084%2028.524-14.471%203.793-1.906%2020.084%2020.066-15.559%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2065.606%20129.309)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20250.578)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1621619608318 + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 }, "design": { "graph": { "blocks": [ { - "id": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "type": "basic.outputLabel", - "data": { - "name": "clk", - "blockColor": "yellow" - }, - "position": { - "x": 1320, - "y": 8 - } - }, - { - "id": "1619287c-6197-46f8-95b5-e0a8ac103782", - "type": "basic.inputLabel", - "data": { - "name": "busy", - "blockColor": "navy" - }, - "position": { - "x": 1600, - "y": 72 - } - }, - { - "id": "906db813-b008-4932-a78c-39f15e593412", - "type": "basic.outputLabel", + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", "data": { - "name": "start_ok", - "blockColor": "orangered" + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1248, - "y": 72 + "x": -96, + "y": 184 } }, { "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "busy" + "name": "" }, "position": { - "x": 2064, - "y": 88 + "x": 392, + "y": 224 } }, { - "id": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "type": "basic.outputLabel", + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "type": "basic.input", "data": { - "name": "busy", - "blockColor": "navy" + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1904, - "y": 88 + "x": -96, + "y": 256 } }, { - "id": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "type": "basic.outputLabel", + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", "data": { - "name": "start", - "blockColor": "orangered" + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" }, "position": { - "x": 776, - "y": 104 + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 } - }, + } + ], + "wires": [ { - "id": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "type": "basic.inputLabel", - "data": { - "name": "start_ok", - "blockColor": "orangered" + "source": { + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" }, - "position": { - "x": 1072, - "y": 120 - } + "size": 32 }, { - "id": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" }, - "position": { - "x": 1256, - "y": 128 - } + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 }, { - "id": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "type": "basic.outputLabel", - "data": { - "name": "busy", - "blockColor": "navy" + "source": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" }, - "position": { - "x": 472, - "y": 184 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } - }, + } + ] + } + } + }, + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { + "package": { + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ { "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", @@ -32214,1093 +32684,1265 @@ "clock": true }, "position": { - "x": 120, - "y": 200 + "x": 560, + "y": 64 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "nc" }, "position": { - "x": 272, - "y": 200 + "x": 1184, + "y": 72 } }, { - "id": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", "type": "basic.output", "data": { - "name": "n" + "name": "nc" }, "position": { - "x": 2064, - "y": 200 + "x": 1184, + "y": 144 } }, { - "id": "58724402-b8ba-4209-ae9d-8275c0de2b9b", - "type": "basic.outputLabel", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "n", - "oldBlockColor": "fuchsia" + "name": "rst", + "clock": false }, "position": { - "x": 1904, - "y": 200 + "x": 560, + "y": 168 } }, { - "id": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "type": "basic.output", "data": { - "name": "exec" + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 2064, - "y": 280 + "x": 1184, + "y": 224 } }, { - "id": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "type": "basic.outputLabel", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "name": "exec", - "blockColor": "darkgreen" + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 1904, - "y": 280 + "x": 560, + "y": 272 } }, { - "id": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "type": "basic.outputLabel", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "start_ok", - "blockColor": "orangered" + "name": "load", + "clock": false }, "position": { - "x": 1264, - "y": 280 + "x": 560, + "y": 376 } }, { - "id": "a29b82f2-d165-41a8-b6d1-434b35bee285", - "type": "basic.input", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "name": "nc", - "clock": false + "name": "nc" }, "position": { - "x": 120, - "y": 288 + "x": 1184, + "y": 376 } }, { - "id": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "type": "basic.outputLabel", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "name": "busy", - "blockColor": "navy" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 464, - "y": 304 + "x": 864, + "y": -80 } }, { - "id": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "type": "basic.outputLabel", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 1264, - "y": 352 + "x": 720, + "y": 40 + }, + "size": { + "width": 376, + "height": 424 } + } + ], + "wires": [ + { + "source": { + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] }, { - "id": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "type": "basic.output", - "data": { - "name": "done" + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, - "position": { - "x": 2064, - "y": 360 - } + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 }, { - "id": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, - "position": { - "x": 1896, - "y": 360 + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "start", - "clock": false + "source": { + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, - "position": { - "x": 120, - "y": 376 - } + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 }, { - "id": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "type": "basic.inputLabel", - "data": { - "name": "start", - "blockColor": "orangered" + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, - "position": { - "x": 272, - "y": 376 + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { - "id": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "type": "basic.outputLabel", + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", "data": { - "blockColor": "fuchsia", "name": "n", - "oldBlockColor": "fuchsia" + "range": "[31:0]", + "size": 32 }, "position": { - "x": 464, - "y": 384 + "x": 952, + "y": 496 } }, { - "id": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "type": "basic.input", + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", "data": { - "name": "next", - "clock": false + "name": "F", + "value": "12000000", + "local": false }, "position": { - "x": 120, - "y": 448 + "x": 520, + "y": 344 } }, { - "id": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "type": "basic.inputLabel", + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", "data": { - "blockColor": "fuchsia", - "name": "next", - "oldBlockColor": "fuchsia" + "name": "ms", + "value": "1", + "local": false }, "position": { - "x": 272, - "y": 448 + "x": 720, + "y": 344 } }, { - "id": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "type": "basic.inputLabel", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", "data": { - "name": "done", - "blockColor": "springgreen" + "info": "Input parameter: Milieconds ", + "readonly": true }, "position": { - "x": 1768, - "y": 464 + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 } }, { - "id": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "type": "basic.outputLabel", + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", "data": { - "name": "clk", - "blockColor": "yellow" + "info": "Input parameter: \nSystem Frequency", + "readonly": true }, "position": { - "x": 1208, - "y": 480 + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 } }, { - "id": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "type": "basic.inputLabel", + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", "data": { - "name": "exec", - "blockColor": "darkgreen" + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" }, "position": { - "x": 1768, - "y": 552 + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 } - }, + } + ], + "wires": [ { - "id": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "type": "basic.outputLabel", - "data": { - "name": "done", - "blockColor": "springgreen" + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" }, - "position": { - "x": 1208, - "y": 552 - } + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] }, { - "id": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "type": "basic.outputLabel", - "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" }, - "position": { - "x": 1208, - "y": 616 - } + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 }, { - "id": "b979a290-8482-4816-8d68-f70939ce8171", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "n", - "oldBlockColor": "fuchsia" + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" }, - "position": { - "x": 1504, - "y": 624 + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" } - }, + } + ] + } + } + }, + "184ac2fa057dc5b8c26ab5b3cc64918faae55707": { + "package": { + "name": "count-5bits", + "version": "0.2", + "description": "Máquina de contar, de 5 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "type": "basic.outputLabel", + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", "data": { - "name": "next", - "blockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 480, - "y": 656 + "x": 592, + "y": -416 } }, { - "id": "410ea520-01e6-449b-8a5a-67ecbfea276a", + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", "type": "basic.inputLabel", "data": { - "name": "next_ok", - "blockColor": "mediumvioletred" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 760, - "y": 672 + "x": 736, + "y": -416 } }, { - "id": "482450af-9607-41e7-8b88-fce7c6db39c4", + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", "type": "basic.outputLabel", "data": { - "name": "busy", - "blockColor": "navy" - }, - "position": { - "x": 480, - "y": 712 - } - }, - { - "id": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "type": "370abb401e524efd3125464b094b41328bfde9b4", - "position": { - "x": 1624, - "y": 536 + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "type": "384715b1bcdce111261bfe65f7fd5d7c0a62e1ae", "position": { - "x": 1448, - "y": 56 - }, - "size": { - "width": 96, - "height": 96 + "x": 1416, + "y": -352 } }, { - "id": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 1400, - "y": 296 + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "449485cd-f705-4548-9903-d6be855de032", - "type": "262b64dbc4c6d23c2e7e63809e5bd86e11a3b3ba", "position": { - "x": 1368, - "y": 536 - }, - "size": { - "width": 96, - "height": 96 + "x": 952, + "y": -304 } }, { - "id": "cbdfa645-faf6-4874-8d65-0559be2a963d", - "type": "basic.info", + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", "data": { - "info": "Machine state:\n* 0 --> OFF\n* 1 --> ON", - "readonly": true - }, - "position": { - "x": 1448, - "y": -72 - }, - "size": { - "width": 232, - "height": 64 + "blockColor": "fuchsia", + "name": "rst" + }, + "position": { + "x": 1416, + "y": -288 } }, { - "id": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "type": "873425949b2a80f1a7f66f320796bcd068a59889", - "position": { - "x": 752, - "y": 248 + "id": "40607400-436e-4dea-b733-8308a06fd4ef", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 584, + "y": -224 } }, { - "id": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", - "position": { - "x": 608, - "y": 184 + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "type": "basic.output", + "data": { + "name": "Busy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2312, + "y": -216 } }, { - "id": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 320 + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2152, + "y": -216 } }, { - "id": "6c8da8ff-6bc8-47c2-91b9-deef1d0bf56d", - "type": "basic.info", + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", "data": { - "info": "### Protect the start signal: \nThis signal is only valid if the machine is \nOFF or if it comes in the last cycle \n(n=1 while busy=1)", - "readonly": true + "blockColor": "fuchsia", + "name": "rst" }, "position": { - "x": 880, - "y": -40 - }, - "size": { - "width": 352, - "height": 88 + "x": 952, + "y": -192 } }, { - "id": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 928, - "y": 120 + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "type": "basic.input", + "data": { + "name": "start", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 584, + "y": -136 } }, { - "id": "5f9d01da-af11-4ea9-89f6-a5d1cb89cb54", - "type": "basic.info", + "id": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", + "type": "basic.inputLabel", "data": { - "info": "Machine ON \nand Last cycle", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 752, - "y": 336 - }, - "size": { - "width": 160, - "height": 64 + "x": 1824, + "y": -104 } }, { - "id": "ee8548b0-afe0-4a5f-8a70-c9b179d21263", - "type": "basic.info", + "id": "c0798d84-418e-4a6f-990f-d5d2c1660d21", + "type": "basic.outputLabel", "data": { - "info": "Machine off", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 752, - "y": 200 - }, - "size": { - "width": 120, - "height": 40 + "x": 1200, + "y": -104 } }, { - "id": "00570e82-8758-4024-8196-b3ae57c2985f", - "type": "basic.info", + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", "data": { - "info": "Enable the start \nsignal", - "readonly": true + "blockColor": "fuchsia", + "name": "start" }, "position": { - "x": 960, - "y": 200 - }, - "size": { - "width": 152, - "height": 56 + "x": 944, + "y": -72 } }, { - "id": "32c772b8-db82-47f0-b563-22e154322fff", - "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", - "position": { - "x": 616, - "y": 672 + "id": "565f2a63-c8a8-45e7-9123-a507fbd077ef", + "type": "basic.output", + "data": { + "name": "n", + "range": "[4:0]", + "size": 5 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2320, + "y": -72 } }, { - "id": "66279dc3-99d4-4ac7-b926-41c15297f41a", - "type": "basic.info", + "id": "07ec322c-26e6-486b-90ed-6032780b2d1c", + "type": "basic.outputLabel", "data": { - "info": "### Protect the Next signal \nIt is only valid if the \nmachine is ON", - "readonly": true + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, "position": { - "x": 568, - "y": 552 - }, - "size": { - "width": 240, - "height": 80 + "x": 2160, + "y": -72 } }, { - "id": "001bf141-0507-4212-8797-a815960a0876", - "type": "basic.info", + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", "data": { - "info": "Count cycle: n \n* 0: Initial cycle\n* 1: Last cycle", - "readonly": true + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1376, - "y": 664 - }, - "size": { - "width": 176, - "height": 72 + "x": 584, + "y": -24 } }, { - "id": "2f8ac35e-beec-4492-9cb5-69000ab61227", - "type": "basic.info", + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", "data": { - "info": "If n==0, execute the inner block \nif n==1, we are done", - "readonly": true + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1680, - "y": 640 - }, - "size": { - "width": 264, - "height": 56 + "x": 1472, + "y": 16 } }, { - "id": "b177799e-2dcd-4f59-9012-4518cce2e023", - "type": "basic.info", + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", "data": { - "info": "n=1", - "readonly": true + "blockColor": "fuchsia", + "name": "done" }, "position": { - "x": 1792, - "y": 432 - }, - "size": { - "width": 72, - "height": 40 + "x": 920, + "y": 24 } }, { - "id": "b4c0c895-71eb-433d-8c53-333d684c887e", - "type": "basic.info", + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", "data": { - "info": "n=0", - "readonly": true + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1896, - "y": 568 - }, - "size": { - "width": 72, - "height": 40 + "x": 2160, + "y": 104 } - } - ], - "wires": [ - { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" - }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" - }, - "vertices": [] }, { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" }, - "target": { - "block": "6ab37c78-47ed-43ea-9b52-891a7bbca1a5", - "port": "inlabel" + "position": { + "x": 2328, + "y": 104 } }, { - "source": { - "block": "6ee65079-f6ae-4e85-8fc7-5dab1a0343ef", - "port": "outlabel" + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "position": { + "x": 1544, + "y": 136 } }, { - "source": { - "block": "58724402-b8ba-4209-ae9d-8275c0de2b9b", - "port": "outlabel" - }, - "target": { - "block": "cc9caa6d-6225-44a7-b7f3-7b94d7e13ba0", - "port": "in" + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 648, + "y": 152 + } }, { - "source": { - "block": "1f8457bd-ef19-4ebe-914c-9d0ce44b82ba", - "port": "out" - }, - "target": { - "block": "51516583-ef0b-4b89-a042-6dfe8dd21ea7", - "port": "inlabel" + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 1080, + "y": 168 + } }, { - "source": { - "block": "b24488ab-186c-4dec-bfd1-2c68f7732aeb", - "port": "outlabel" - }, - "target": { - "block": "19fa817f-a4d6-4563-87d3-2a3a8756abe4", - "port": "in" + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false }, - "vertices": [] + "position": { + "x": 616, + "y": 208 + } }, { - "source": { - "block": "fa0dc58a-2a8a-4f64-969a-8a388960cf57", - "port": "outlabel" + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "8e23ac9a-675f-4e0c-9476-93c9fc2c3b79", - "port": "in" + "position": { + "x": 1352, + "y": 216 } }, { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" - }, - "target": { - "block": "86c1e2b0-a2b6-4de9-b2b8-c814efab9d87", - "port": "inlabel" + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 2160, + "y": 248 + } }, { - "source": { - "block": "f97cf800-9528-42f2-960f-ab1ddfa85681", - "port": "outlabel" - }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "21326921-3052-4ec8-8344-fc8210c08678" + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" }, - "vertices": [] + "position": { + "x": 2320, + "y": 248 + } }, { - "source": { - "block": "ac3a8af0-2a04-474c-9d22-71464bf2d93a", - "port": "outlabel" + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1952, + "y": 288 } }, { - "source": { - "block": "b6cb1ec8-fb1c-4061-81a2-ecdce228024a", - "port": "outlabel" + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + "position": { + "x": 1352, + "y": 288 } }, { - "source": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" }, - "target": { - "block": "1619287c-6197-46f8-95b5-e0a8ac103782", - "port": "inlabel" + "position": { + "x": 1680, + "y": 376 } }, { - "source": { - "block": "ee6ff79d-38a1-45d9-937f-b232fc04e45c", - "port": "outlabel" + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + "position": { + "x": 1144, + "y": 376 } }, { - "source": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "798f4215-8ebd-4cdc-9532-7b34129244f8" + "id": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 }, - "target": { - "block": "0adc3576-23ea-4d7e-98e5-f4543936bba5", - "port": "inlabel" + "position": { + "x": 688, + "y": 552 } }, { - "source": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", + "data": { + "name": "N", + "value": "32", + "local": false }, - "target": { - "block": "b979a290-8482-4816-8d68-f70939ce8171", - "port": "inlabel" + "position": { + "x": 680, + "y": 344 } }, { - "source": { - "block": "906db813-b008-4932-a78c-39f15e593412", - "port": "outlabel" + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true }, - "target": { - "block": "a2ba837e-578b-42dc-a9ff-b834f372af0e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1352, + "y": -208 } }, { - "source": { - "block": "429128ea-a78d-4c4f-90cd-3f3834b0db38", - "port": "outlabel" + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 1648, + "y": -256 } }, { - "source": { - "block": "2c9ee36e-6441-4b3f-8516-524c104e79db", - "port": "outlabel" + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "582e41c3-881b-43e3-a1cc-05436ab5e5ff", - "port": "outlabel" + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1016, + "y": 376 }, - "target": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 }, - "target": { - "block": "343bb1fa-6690-412b-a53a-ce0f8752db27", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "76eaa2be-4797-4032-a93b-9c3e433c2783", - "port": "outlabel" + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "position": { + "x": 1824, + "y": 288 }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "5a63810a-e4a1-49ae-a6a0-39bc2cb44cff", - "port": "outlabel" + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", + "data": { + "info": "**Reloj del sistema**", + "readonly": true }, - "target": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 680, + "y": -472 + }, + "size": { + "width": 192, + "height": 40 } }, { - "source": { - "block": "b78d9133-9f93-42f7-a535-ddcd88d8e6ba", - "port": "outlabel" + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", + "data": { + "info": "**Estado de** \n**la máquina**", + "readonly": true }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 760, + "y": -248 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", + "data": { + "info": "Máquina encendida", + "readonly": true }, - "target": { - "block": "410ea520-01e6-449b-8a5a-67ecbfea276a", - "port": "inlabel" + "position": { + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 } }, { - "source": { - "block": "d07fe9fb-a4ec-4f30-b00c-b509c641a3a1", - "port": "outlabel" + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", + "data": { + "info": "Máquina apagada \n(rst = 1)", + "readonly": true }, - "target": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 } }, { - "source": { - "block": "7cde966c-cd95-447c-b29d-3053f0bae12f", - "port": "outlabel" + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", + "data": { + "info": "Tic de arranque", + "readonly": true }, - "target": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + "position": { + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 } }, { - "source": { - "block": "482450af-9607-41e7-8b88-fce7c6db39c4", - "port": "outlabel" + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", + "data": { + "info": "Tic de fin", + "readonly": true }, - "target": { - "block": "32c772b8-db82-47f0-b563-22e154322fff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 } }, { - "source": { - "block": "449485cd-f705-4548-9903-d6be855de032", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", + "data": { + "info": "**Contador de ciclos**", + "readonly": true }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "position": { + "x": 1480, + "y": -424 }, - "vertices": [] + "size": { + "width": 224, + "height": 40 + } }, { - "source": { - "block": "ba7e753d-351a-4a87-a575-3d10d34f3fe0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", + "data": { + "info": "Número de ciclos \ncontados", + "readonly": true }, - "target": { - "block": "1c91042d-0ff4-46d3-bdc1-31cc9b6ad678", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "position": { + "x": 1824, + "y": -160 }, - "vertices": [ - { - "x": 1544, - "y": 432 - } - ] + "size": { + "width": 160, + "height": 56 + } }, { - "source": { - "block": "76ac795f-3073-49f9-8a79-64a121a7d90d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", + "data": { + "info": "Valor \nincrementado", + "readonly": true }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 } }, { - "source": { - "block": "4f5c225c-627c-4b74-a946-3619e25cebf0", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", + "data": { + "info": "### Salidas", + "readonly": true }, - "target": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 } }, { - "source": { - "block": "0a170eab-bc4c-4bb9-b61f-92cb1a4d6a52", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", + "data": { + "info": "Estado de la máquina", + "readonly": true }, - "target": { - "block": "3aaa7eba-90a7-4637-bbc9-487828bd1bc4", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "position": { + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 } - } - ] - } - } - }, - "262b64dbc4c6d23c2e7e63809e5bd86e11a3b3ba": { - "package": { - "name": "TFF.rst", - "version": "0.2", - "description": "System TFF with toggle input and reset: It toogles on every system cycle if the input is active", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1618503523961 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "type": "basic.outputLabel", + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" + "info": "Ciclo actual", + "readonly": true }, "position": { - "x": 440, - "y": -184 + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "c957f7b7-d95d-4f9a-afed-aa5f28228ca1", - "type": "basic.outputLabel", + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", "data": { - "name": "rst", - "blockColor": "red" + "info": "Cuenta finalizada", + "readonly": true }, "position": { - "x": 440, - "y": -120 + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 } }, { - "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "type": "basic.input", + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Ejecutar el ciclo", + "readonly": true }, "position": { - "x": 80, - "y": -112 + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 } }, { - "id": "0a772657-8018-424d-8f04-75d3ffff3692", - "type": "basic.inputLabel", + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk" + "info": "Número de ciclos \na contar", + "readonly": true }, "position": { - "x": 232, - "y": -112 + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", "data": { - "name": "" + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true }, "position": { - "x": 800, - "y": -112 + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 } }, { - "id": "f20a4359-8546-4dda-aa5c-d08bfe4724a0", - "type": "basic.outputLabel", + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", "data": { - "name": "next", - "blockColor": "fuchsia" + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true }, "position": { - "x": 440, - "y": -48 + "x": 648, + "y": 88 + }, + "size": { + "width": 216, + "height": 80 } }, { - "id": "21326921-3052-4ec8-8344-fc8210c08678", - "type": "basic.input", + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", "data": { - "name": "rst", - "clock": false + "info": "Nuevo ciclo", + "readonly": true }, "position": { - "x": 80, - "y": -40 + "x": 920, + "y": 168 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "149c5b96-7e15-4766-aac5-33d8af3c3243", - "type": "basic.inputLabel", + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", + "type": "basic.info", "data": { - "name": "rst", - "blockColor": "red" + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", + "readonly": true }, "position": { - "x": 232, - "y": -40 + "x": 1128, + "y": 304 + }, + "size": { + "width": 208, + "height": 72 } }, { - "id": "8f321a4f-979f-46f9-b40f-524e6bc0008a", - "type": "basic.inputLabel", + "id": "9baabc30-8c27-4b55-92e4-d59783269162", + "type": "basic.info", "data": { - "name": "next", - "blockColor": "fuchsia" + "info": "Apagar la \nmáquina", + "readonly": true }, "position": { - "x": 880, - "y": 16 + "x": 600, + "y": -64 + }, + "size": { + "width": 120, + "height": 56 } }, { - "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "type": "basic.input", + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", "data": { - "name": "t", - "clock": false + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true }, "position": { - "x": 80, - "y": 32 + "x": 1464, + "y": -32 + }, + "size": { + "width": 208, + "height": 56 } }, { - "id": "81f5e1f9-e867-4639-98b5-e12f7551751d", - "type": "basic.inputLabel", + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", "data": { - "name": "toggle", - "blockColor": "fuchsia" + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true }, "position": { - "x": 232, - "y": 32 + "x": 1680, + "y": 440 + }, + "size": { + "width": 248, + "height": 72 } }, { - "id": "a66e0e19-f794-47df-b52e-c663ff7fa3e2", - "type": "basic.outputLabel", + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", "data": { - "name": "toggle", - "blockColor": "fuchsia" + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true }, "position": { - "x": 440, - "y": 40 + "x": 1688, + "y": 168 + }, + "size": { + "width": 208, + "height": 80 } }, { - "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "type": "basic.constant", + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true }, "position": { - "x": 600, - "y": -232 + "x": 1432, + "y": 432 + }, + "size": { + "width": 256, + "height": 72 } }, { - "id": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", - "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "id": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "type": "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e", "position": { - "x": 736, - "y": 16 + "x": 1352, + "y": -104 }, "size": { "width": 96, @@ -33308,972 +33950,1031 @@ } }, { - "id": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "type": "e19dce6995f7b7605b72ffb1fe8aef3a64f64765", + "id": "85378bfa-fb14-48e9-ae6e-435470739f60", + "type": "dcac317be3a1ce461a24d7a75d6c112790f3b0d9", "position": { - "x": 600, - "y": -96 + "x": 856, + "y": 512 }, "size": { "width": 96, - "height": 128 + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", - "port": "out" + "id": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "type": "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4", + "position": { + "x": 680, + "y": 448 }, - "target": { - "block": "0a772657-8018-424d-8f04-75d3ffff3692", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "type": "aec21dcbeb94ef212f1936ba3b7cf22e0e349a8f", + "position": { + "x": 752, + "y": -168 }, - "vertices": [] + "size": { + "width": 96, + "height": 128 + } }, { - "source": { - "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", - "port": "outlabel" + "id": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "type": "747c6bedc887fd600bcf53135518844533f0e767", + "position": { + "x": 1648, + "y": -152 }, - "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + "size": { + "width": 96, + "height": 128 } }, { - "source": { - "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", - "port": "out" + "id": "b6e4e507-cb65-4c57-9473-e0270450e992", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1512, + "y": 272 }, - "target": { - "block": "81f5e1f9-e867-4639-98b5-e12f7551751d", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "a66e0e19-f794-47df-b52e-c663ff7fa3e2", + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", "port": "outlabel" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" - } + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] }, { "source": { - "block": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" }, "target": { - "block": "8f321a4f-979f-46f9-b40f-524e6bc0008a", + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", "port": "inlabel" } }, { "source": { - "block": "f20a4359-8546-4dda-aa5c-d08bfe4724a0", - "port": "outlabel" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", + "port": "inlabel" } }, { "source": { - "block": "21326921-3052-4ec8-8344-fc8210c08678", - "port": "out" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" }, "target": { - "block": "149c5b96-7e15-4766-aac5-33d8af3c3243", + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", "port": "inlabel" }, - "vertices": [] - }, - { - "source": { - "block": "c957f7b7-d95d-4f9a-afed-aa5f28228ca1", - "port": "outlabel" - }, - "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "438f72b7-318e-4423-9779-5e4db241705e" - }, "vertices": [ { - "x": 560, - "y": -64 + "x": 888, + "y": -216 } ] }, { "source": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" }, "target": { - "block": "1f324b9b-a8a8-43b9-9c34-2207a3ea75a5", + "block": "bd61136e-6597-4728-a8c3-141a1841150b", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] + } }, { "source": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", + "port": "outlabel" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" } }, { "source": { - "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", - "port": "constant-out" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "5f73637b-6930-4a4c-9f1e-21eb69d924a5", - "port": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8" + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "port": "inlabel" } - } - ] - } - } - }, - "e19dce6995f7b7605b72ffb1fe8aef3a64f64765": { - "package": { - "name": "DFF-rst", - "version": "0.1", - "description": "D Flip-Flop with load and reset", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1594812046378 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "fdd3edd9-8905-4c54-8364-502df1406563", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" }, - "position": { - "x": 640, - "y": 440 + "target": { + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" } }, { - "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 472 + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk" + "source": { + "block": "a756b829-42d1-4779-b42d-a9acc3800854", + "port": "outlabel" }, - "position": { - "x": 240, - "y": 472 + "target": { + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" } }, { - "id": "df4acb1a-0fa0-4fdf-9b61-d77cdf3d8564", - "type": "basic.outputLabel", - "data": { - "name": "rst", - "blockColor": "red" + "source": { + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "port": "outlabel" }, - "position": { - "x": 616, - "y": 504 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { - "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "darkgreen" + "source": { + "block": "10afc859-04fd-433d-823a-4fa4721320c1", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 552 + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "438f72b7-318e-4423-9779-5e4db241705e", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 96, - "y": 560 + "target": { + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "port": "inlabel" } }, { - "id": "d87a1f54-59a0-4fd5-ac2c-f03f27e66344", - "type": "basic.inputLabel", - "data": { - "name": "rst", - "blockColor": "red" + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 248, - "y": 560 + "target": { + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "port": "inlabel" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "port": "outlabel" }, - "position": { - "x": 1296, - "y": 592 + "target": { + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { - "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" }, - "position": { - "x": 1152, - "y": 592 + "target": { + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "port": "inlabel" } }, { - "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "40607400-436e-4dea-b733-8308a06fd4ef", + "port": "outlabel" }, - "position": { - "x": 928, - "y": 592 + "target": { + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" } }, { - "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "curr_bit", - "oldBlockColor": "fuchsia" + "source": { + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 624 + "target": { + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "type": "basic.input", - "data": { - "name": "d", - "clock": false + "source": { + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 632 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { - "id": "f7308710-bc81-45c4-9d5b-333551811cfa", - "type": "basic.inputLabel", - "data": { - "blockColor": "navy", - "name": "data", - "oldBlockColor": "fuchsia" + "source": { + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" }, - "position": { - "x": 248, - "y": 632 - } + "target": { + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1464, + "y": 264 + } + ] }, { - "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "type": "basic.outputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "fuchsia" + "source": { + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" }, - "position": { - "x": 448, - "y": 688 + "target": { + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" } }, { - "id": "f4898f99-23a3-47f9-9685-a1e967218958", - "type": "basic.inputLabel", - "data": { - "blockColor": "darkgreen", - "name": "load", - "oldBlockColor": "deepskyblue" + "source": { + "block": "07ec322c-26e6-486b-90ed-6032780b2d1c", + "port": "outlabel" }, - "position": { - "x": 248, - "y": 712 - } + "target": { + "block": "565f2a63-c8a8-45e7-9123-a507fbd077ef", + "port": "in", + "size": 5 + }, + "size": 5 }, { - "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "source": { + "block": "c0798d84-418e-4a6f-990f-d5d2c1660d21", + "port": "outlabel" }, - "position": { - "x": 96, - "y": 712 - } + "target": { + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "size": 5 + }, + "size": 5 }, { - "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "5aad8c94-4889-4ccd-b051-82e585f38aa9", + "port": "outlabel" }, - "position": { - "x": 792, - "y": 376 - } + "target": { + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "size": 5 + }, + "size": 5 }, { - "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", - "type": "basic.info", - "data": { - "info": "Sys-DFF-rst", - "readonly": true + "source": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 5 }, - "position": { - "x": 800, - "y": 688 + "target": { + "block": "95ebea3a-b7d9-48f7-9fb0-6814c5ab3dab", + "port": "inlabel" }, - "size": { - "width": 120, - "height": 48 - } + "size": 5 }, { - "id": "0daecba2-695f-41d5-bac2-185ba5ad6b51", - "type": "basic.info", - "data": { - "info": "Mux 2-1", - "readonly": true - }, - "position": { - "x": 640, - "y": 712 + "source": { + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" }, - "size": { - "width": 104, - "height": 40 + "target": { + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" } }, { - "id": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 624, - "y": 608 + "source": { + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" } }, { - "id": "c3d3daf1-0512-4227-8fa0-164308734632", - "type": "bc12da9d6159ffe4080a47b78bac7dd4e45e1022", - "position": { - "x": 792, - "y": 576 + "source": { + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" }, - "size": { - "width": 96, - "height": 96 + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } - } - ], - "wires": [ + }, { "source": { - "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", - "port": "out" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", - "port": "inlabel" - }, - "vertices": [] + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "fdd3edd9-8905-4c54-8364-502df1406563", - "port": "outlabel" + "block": "b6e4e507-cb65-4c57-9473-e0270450e992", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "f7308710-bc81-45c4-9d5b-333551811cfa", - "port": "inlabel" + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", - "port": "out" + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" }, "target": { - "block": "f4898f99-23a3-47f9-9685-a1e967218958", - "port": "inlabel" + "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { "source": { - "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", - "port": "outlabel" + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "port": "out" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "d8ba9187-2261-410f-bb66-c21f557fdb46", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" } }, { "source": { - "block": "438f72b7-318e-4423-9779-5e4db241705e", + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", "port": "out" }, "target": { - "block": "d87a1f54-59a0-4fd5-ac2c-f03f27e66344", - "port": "inlabel" - }, - "vertices": [] + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "df4acb1a-0fa0-4fdf-9b61-d77cdf3d8564", - "port": "outlabel" + "block": "c7caa595-5f5d-409b-99d3-a10767cd8254", + "port": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "b7e9967b-b7f6-4367-9487-37efd75c5e26", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" }, - "vertices": [ - { - "x": 752, - "y": 576 - } - ] + "size": 5 }, { "source": { - "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", - "port": "outlabel" + "block": "46530cc2-5d1a-4b67-93da-21d2d2ee2692", + "port": "a87dc65e-e688-4659-8d4b-a8d56894145e" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + "block": "85378bfa-fb14-48e9-ae6e-435470739f60", + "port": "469d5d34-b849-4fcd-b5a5-c47808d7b043" + }, + "size": 5 + } + ] + } + } + }, + "f0d5009195f6e16e2f5275198c6b457ce0cb1a3e": { + "package": { + "name": "adder-1op-5bits", + "version": "0.1", + "description": "5-bits adder. One operand is a constant (no carry)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 216, + "y": 192 } }, { - "source": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 664, + "y": 192 + } + }, + { + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 448, + "y": 96 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], + "ports": { + "in": [ + { + "name": "a", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "s", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 }, - "target": { - "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", - "port": "inlabel" + "size": { + "width": 216, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", - "port": "outlabel" + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" } }, { "source": { - "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", - "port": "outlabel" + "block": "0a38ed9a-bddd-47e1-b0a0-0b38454887bb", + "port": "out" }, "target": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "vertices": [ - { - "x": 576, - "y": 608 - } - ] + "size": 5 }, { "source": { - "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", - "port": "constant-out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7" - } - }, - { - "source": { - "block": "17048e41-766b-4092-af4a-0bcbf8285ddb", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "c3c3241c-ecd9-4fd4-95b9-b474fc17bc90", + "port": "in" }, - "target": { - "block": "c3d3daf1-0512-4227-8fa0-164308734632", - "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" - } + "size": 5 } ] } } }, - "bc12da9d6159ffe4080a47b78bac7dd4e45e1022": { + "dcac317be3a1ce461a24d7a75d6c112790f3b0d9": { "package": { - "name": "Sys-DFF-rst-x01", - "version": "0.2", - "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is set to it initial value", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", - "otid": 1617895961660 + "name": "2-op-comp", + "version": "0.1", + "description": "two operand 5-bits comparator", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 728, - "y": -176 - } - }, - { - "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "id": "469d5d34-b849-4fcd-b5a5-c47808d7b043", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 168, - "y": -168 + "x": 176, + "y": 120 } }, { - "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "type": "basic.inputLabel", + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk" + "name": "" }, "position": { - "x": 320, - "y": -168 + "x": 616, + "y": 160 } }, { - "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "id": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", "type": "basic.input", "data": { - "name": "rst", - "clock": false + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 168, - "y": -104 + "x": 176, + "y": 216 } }, { - "id": "18e5d243-dba2-486a-ae4d-ba21068d83f9", - "type": "basic.inputLabel", + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "type": "basic.code", "data": { - "name": "rst", - "blockColor": "fuchsia" + "code": "assign eq = (a == b);", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[4:0]", + "size": 5 + }, + { + "name": "b", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "eq" + } + ] + } }, "position": { - "x": 312, - "y": -104 + "x": 344, + "y": 160 + }, + "size": { + "width": 224, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" + }, + "target": { + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "source": { + "block": "124263fc-6d00-49c1-b4fe-f60cd6c7116f", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "b" + }, + "size": 5 + }, + { + "source": { + "block": "469d5d34-b849-4fcd-b5a5-c47808d7b043", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 5 + } + ] + } + } + }, + "cd5fb1d11e23aa376762b4b61673dca9b7c8fec4": { + "package": { + "name": "Constante-5bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 5 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a87dc65e-e688-4659-8d4b-a8d56894145e", "type": "basic.output", "data": { - "name": "" + "name": "k", + "range": "[4:0]", + "size": 5 }, "position": { - "x": 1000, - "y": -80 + "x": 960, + "y": 248 } }, { - "id": "628e485e-758d-4277-b77e-5457d81f2973", - "type": "basic.outputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "name": "d", - "blockColor": "fuchsia" + "name": "", + "value": "1", + "local": false }, "position": { - "x": 568, - "y": -64 + "x": 728, + "y": 112 } }, { - "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", - "type": "basic.input", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "name": "d", - "clock": false + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[4:0]", + "size": 5 + } + ] + } }, "position": { - "x": 168, - "y": 8 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "6394cb06-ab11-4a7f-bfca-488e0f4555e8", - "type": "basic.outputLabel", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "a87dc65e-e688-4659-8d4b-a8d56894145e", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "747c6bedc887fd600bcf53135518844533f0e767": { + "package": { + "name": "05-Reg-rst", + "version": "0.8", + "description": "05-Reg-rst: 5 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { - "name": "rst", - "blockColor": "fuchsia" + "name": "", + "clock": true }, "position": { - "x": 568, - "y": 8 + "x": 560, + "y": 64 } }, { - "id": "1d16de24-0d5b-4237-980e-e1cdd159b657", - "type": "basic.inputLabel", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "name": "d", - "blockColor": "fuchsia" + "name": "nc" }, "position": { - "x": 312, - "y": 8 + "x": 1184, + "y": 72 } }, { - "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "type": "basic.constant", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "nc" }, "position": { - "x": 872, - "y": -360 + "x": 1184, + "y": 144 } }, { - "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", - "type": "basic.info", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", "data": { - "info": "Reset input: Active high \nWhen rst = 1, the DFF is set to its initial value", - "readonly": true + "name": "rst", + "clock": false }, "position": { - "x": 568, - "y": 88 - }, - "size": { - "width": 488, - "height": 56 + "x": 560, + "y": 168 } }, { - "id": "ca985673-a11d-42a0-926c-d564fe02b723", - "type": "basic.info", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", "data": { - "info": "Data input", - "readonly": true + "name": "", + "range": "[4:0]", + "size": 5 }, "position": { - "x": 184, - "y": -24 - }, - "size": { - "width": 104, - "height": 40 + "x": 1184, + "y": 224 } }, { - "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", - "type": "basic.info", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", "data": { - "info": "System clock", - "readonly": true + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 }, "position": { - "x": 176, - "y": -208 - }, - "size": { - "width": 144, - "height": 40 + "x": 560, + "y": 272 } }, { - "id": "9833aab1-3c8e-40a6-859b-ce1960837256", - "type": "basic.info", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "info": "Initial default \nvalue: 0", - "readonly": true - }, - "position": { - "x": 888, - "y": -416 + "name": "load", + "clock": false }, - "size": { - "width": 152, - "height": 64 - } - }, - { - "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "type": "053dc2e26797e60dd454402e395eb23f388681b9", "position": { - "x": 856, - "y": -80 - }, - "size": { - "width": 96, - "height": 64 + "x": 560, + "y": 376 } }, { - "id": "acc4b3b2-c176-4e02-8840-29896179603e", - "type": "09be4222bca27dda5ca84bf0f48ba2c5c1df2122", - "position": { - "x": 712, - "y": -80 + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "ff41d5ee-6c53-4158-8866-afd978669207", - "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", "position": { - "x": 528, - "y": -152 - }, - "size": { - "width": 96, - "height": 64 + "x": 1184, + "y": 376 } }, { - "id": "9f3bd6e8-6010-42ef-b3bb-54b37c1a4d00", - "type": "basic.info", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "info": "Syste DFF", - "readonly": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 872, - "y": -8 - }, - "size": { - "width": 112, - "height": 48 + "x": 864, + "y": -80 } }, { - "id": "9b52e5b2-d262-4e6e-adde-71968812f4e9", - "type": "basic.info", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", "data": { - "info": "Mux-2-1", - "readonly": true + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[4:0]", + "size": 5 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[4:0]", + "size": 5 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 5;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 744, - "y": 32 + "x": 720, + "y": 40 }, "size": { - "width": 112, - "height": 48 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", - "port": "out" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", - "port": "inlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" }, "vertices": [] }, { "source": { - "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", - "port": "outlabel" - }, - "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", - "port": "out" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "18e5d243-dba2-486a-ae4d-ba21068d83f9", - "port": "inlabel" - } - }, - { - "source": { - "block": "6394cb06-ab11-4a7f-bfca-488e0f4555e8", - "port": "outlabel" + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" }, - "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" - } + "size": 5 }, { "source": { - "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "1d16de24-0d5b-4237-980e-e1cdd159b657", - "port": "inlabel" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "628e485e-758d-4277-b77e-5457d81f2973", - "port": "outlabel" + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" - } - }, - { - "source": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "size": 5 }, { "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { "source": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, "target": { - "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } - }, - { - "source": { - "block": "ff41d5ee-6c53-4158-8866-afd978669207", - "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" - }, - "target": { - "block": "acc4b3b2-c176-4e02-8840-29896179603e", - "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" - }, - "vertices": [ - { - "x": 680, - "y": -112 - } - ] - }, - { - "source": { - "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", - "port": "constant-out" - }, - "target": { - "block": "ff41d5ee-6c53-4158-8866-afd978669207", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - }, - "vertices": [ - { - "x": 672, - "y": -248 - } - ] } ] }